Increase copyright year (final release will be in 2013)
[libftdi] / src / ftdi.h
CommitLineData
a3da1d95
GE
1/***************************************************************************
2 ftdi.h - description
3 -------------------
4 begin : Fri Apr 4 2003
b25d9165 5 copyright : (C) 2003-2013 by Intra2net AG and the libftdi developers
5fdb1cb1 6 email : opensource@intra2net.com
a3da1d95
GE
7 ***************************************************************************/
8
9/***************************************************************************
10 * *
11 * This program is free software; you can redistribute it and/or modify *
12 * it under the terms of the GNU Lesser General Public License *
13 * version 2.1 as published by the Free Software Foundation; *
14 * *
15 ***************************************************************************/
16
17#ifndef __libftdi_h__
18#define __libftdi_h__
19
579b006f 20#include <libusb.h>
0e302db6 21
91c9886b 22/** FTDI chip type */
c7e4c09e 23enum ftdi_chip_type { TYPE_AM=0, TYPE_BM=1, TYPE_2232C=2, TYPE_R=3, TYPE_2232H=4, TYPE_4232H=5, TYPE_232H=6 };
91c9886b 24/** Parity mode for ftdi_set_line_property() */
2f73e59f 25enum ftdi_parity_type { NONE=0, ODD=1, EVEN=2, MARK=3, SPACE=4 };
91c9886b 26/** Number of stop bits for ftdi_set_line_property() */
2f73e59f 27enum ftdi_stopbits_type { STOP_BIT_1=0, STOP_BIT_15=1, STOP_BIT_2=2 };
91c9886b 28/** Number of bits for ftdi_set_line_property() */
2f73e59f 29enum ftdi_bits_type { BITS_7=7, BITS_8=8 };
91c9886b 30/** Break type for ftdi_set_line_property2() */
6c32e222 31enum ftdi_break_type { BREAK_OFF=0, BREAK_ON=1 };
2f73e59f 32
91c9886b 33/** MPSSE bitbang modes */
22d12cda
TJ
34enum ftdi_mpsse_mode
35{
418aaa72
GE
36 BITMODE_RESET = 0x00, /**< switch off bitbang mode, back to regular serial/FIFO */
37 BITMODE_BITBANG= 0x01, /**< classical asynchronous bitbang mode, introduced with B-type chips */
38 BITMODE_MPSSE = 0x02, /**< MPSSE mode, available on 2232x chips */
39 BITMODE_SYNCBB = 0x04, /**< synchronous bitbang mode, available on 2232x and R-type chips */
40 BITMODE_MCU = 0x08, /**< MCU Host Bus Emulation mode, available on 2232x chips */
41 /* CPU-style fifo mode gets set via EEPROM */
42 BITMODE_OPTO = 0x10, /**< Fast Opto-Isolated Serial Interface Mode, available on 2232x chips */
43 BITMODE_CBUS = 0x20, /**< Bitbang on CBUS pins of R-type chips, configure in EEPROM before */
44 BITMODE_SYNCFF = 0x40, /**< Single Channel Synchronous FIFO mode, available on 2232H chips */
7a172caf 45 BITMODE_FT1284 = 0x80, /**< FT1284 mode, available on 232H chips */
c4446c36
TJ
46};
47
418aaa72 48/** Port interface for chips with multiple interfaces */
22d12cda
TJ
49enum ftdi_interface
50{
c4446c36
TJ
51 INTERFACE_ANY = 0,
52 INTERFACE_A = 1,
f9d69895
AH
53 INTERFACE_B = 2,
54 INTERFACE_C = 3,
55 INTERFACE_D = 4
c4446c36
TJ
56};
57
a3d86bdb
TJ
58/** Automatic loading / unloading of kernel modules */
59enum ftdi_module_detach_mode
60{
61 AUTO_DETACH_SIO_MODULE = 0,
62 DONT_DETACH_SIO_MODULE = 1
63};
64
c4446c36
TJ
65/* Shifting commands IN MPSSE Mode*/
66#define MPSSE_WRITE_NEG 0x01 /* Write TDI/DO on negative TCK/SK edge*/
67#define MPSSE_BITMODE 0x02 /* Write bits, not bytes */
68#define MPSSE_READ_NEG 0x04 /* Sample TDO/DI on negative TCK/SK edge */
69#define MPSSE_LSB 0x08 /* LSB first */
70#define MPSSE_DO_WRITE 0x10 /* Write TDI/DO */
71#define MPSSE_DO_READ 0x20 /* Read TDO/DI */
72#define MPSSE_WRITE_TMS 0x40 /* Write TMS/CS */
73
74/* FTDI MPSSE commands */
75#define SET_BITS_LOW 0x80
76/*BYTE DATA*/
77/*BYTE Direction*/
78#define SET_BITS_HIGH 0x82
79/*BYTE DATA*/
80/*BYTE Direction*/
81#define GET_BITS_LOW 0x81
82#define GET_BITS_HIGH 0x83
83#define LOOPBACK_START 0x84
84#define LOOPBACK_END 0x85
85#define TCK_DIVISOR 0x86
c7e4c09e
UB
86/* H Type specific commands */
87#define DIS_DIV_5 0x8a
88#define EN_DIV_5 0x8b
89#define EN_3_PHASE 0x8c
90#define DIS_3_PHASE 0x8d
91#define CLK_BITS 0x8e
92#define CLK_BYTES 0x8f
93#define CLK_WAIT_HIGH 0x94
94#define CLK_WAIT_LOW 0x95
95#define EN_ADAPTIVE 0x96
96#define DIS_ADAPTIVE 0x97
97#define CLK_BYTES_OR_HIGH 0x9c
98#define CLK_BYTES_OR_LOW 0x0d
99/*FT232H specific commands */
100#define DRIVE_OPEN_COLLECTOR 0x9e
c4446c36
TJ
101/* Value Low */
102/* Value HIGH */ /*rate is 12000000/((1+value)*2) */
103#define DIV_VALUE(rate) (rate > 6000000)?0:((6000000/rate -1) > 0xffff)? 0xffff: (6000000/rate -1)
104
105/* Commands in MPSSE and Host Emulation Mode */
22d12cda 106#define SEND_IMMEDIATE 0x87
c4446c36
TJ
107#define WAIT_ON_HIGH 0x88
108#define WAIT_ON_LOW 0x89
109
110/* Commands in Host Emulation Mode */
111#define READ_SHORT 0x90
112/* Address_Low */
113#define READ_EXTENDED 0x91
114/* Address High */
115/* Address Low */
116#define WRITE_SHORT 0x92
117/* Address_Low */
118#define WRITE_EXTENDED 0x93
119/* Address High */
120/* Address Low */
53ad271d 121
a01d31e2 122/* Definitions for flow control */
9ecfef2a 123#define SIO_RESET 0 /* Reset the port */
a01d31e2
TJ
124#define SIO_MODEM_CTRL 1 /* Set the modem control register */
125#define SIO_SET_FLOW_CTRL 2 /* Set flow control register */
9ecfef2a
TJ
126#define SIO_SET_BAUD_RATE 3 /* Set baud rate */
127#define SIO_SET_DATA 4 /* Set the data characteristics of the port */
128
579b006f
JZ
129#define FTDI_DEVICE_OUT_REQTYPE (LIBUSB_REQUEST_TYPE_VENDOR | LIBUSB_RECIPIENT_DEVICE | LIBUSB_ENDPOINT_OUT)
130#define FTDI_DEVICE_IN_REQTYPE (LIBUSB_REQUEST_TYPE_VENDOR | LIBUSB_RECIPIENT_DEVICE | LIBUSB_ENDPOINT_IN)
a5e1bd8c
MK
131
132/* Requests */
133#define SIO_RESET_REQUEST SIO_RESET
134#define SIO_SET_BAUDRATE_REQUEST SIO_SET_BAUD_RATE
135#define SIO_SET_DATA_REQUEST SIO_SET_DATA
136#define SIO_SET_FLOW_CTRL_REQUEST SIO_SET_FLOW_CTRL
137#define SIO_SET_MODEM_CTRL_REQUEST SIO_MODEM_CTRL
138#define SIO_POLL_MODEM_STATUS_REQUEST 0x05
139#define SIO_SET_EVENT_CHAR_REQUEST 0x06
140#define SIO_SET_ERROR_CHAR_REQUEST 0x07
141#define SIO_SET_LATENCY_TIMER_REQUEST 0x09
142#define SIO_GET_LATENCY_TIMER_REQUEST 0x0A
143#define SIO_SET_BITMODE_REQUEST 0x0B
144#define SIO_READ_PINS_REQUEST 0x0C
145#define SIO_READ_EEPROM_REQUEST 0x90
146#define SIO_WRITE_EEPROM_REQUEST 0x91
147#define SIO_ERASE_EEPROM_REQUEST 0x92
148
149
9ecfef2a
TJ
150#define SIO_RESET_SIO 0
151#define SIO_RESET_PURGE_RX 1
152#define SIO_RESET_PURGE_TX 2
153
22d12cda 154#define SIO_DISABLE_FLOW_CTRL 0x0
a01d31e2
TJ
155#define SIO_RTS_CTS_HS (0x1 << 8)
156#define SIO_DTR_DSR_HS (0x2 << 8)
157#define SIO_XON_XOFF_HS (0x4 << 8)
158
a01d31e2
TJ
159#define SIO_SET_DTR_MASK 0x1
160#define SIO_SET_DTR_HIGH ( 1 | ( SIO_SET_DTR_MASK << 8))
161#define SIO_SET_DTR_LOW ( 0 | ( SIO_SET_DTR_MASK << 8))
162#define SIO_SET_RTS_MASK 0x2
163#define SIO_SET_RTS_HIGH ( 2 | ( SIO_SET_RTS_MASK << 8 ))
164#define SIO_SET_RTS_LOW ( 0 | ( SIO_SET_RTS_MASK << 8 ))
165
166#define SIO_RTS_CTS_HS (0x1 << 8)
167
7cc9950e
GE
168/* marker for unused usb urb structures
169 (taken from libusb) */
170#define FTDI_URB_USERCONTEXT_COOKIE ((void *)0x1)
171
fd282db3
GE
172#ifdef __GNUC__
173 #define DEPRECATED(func) func __attribute__ ((deprecated))
174#elif defined(_MSC_VER)
175 #define DEPRECATED(func) __declspec(deprecated) func
176#else
177 #pragma message("WARNING: You need to implement DEPRECATED for this compiler")
178 #define DEPRECATED(func) func
179#endif
180
579b006f
JZ
181struct ftdi_transfer_control
182{
183 int completed;
184 unsigned char *buf;
185 int size;
186 int offset;
187 struct ftdi_context *ftdi;
188 struct libusb_transfer *transfer;
189};
fd282db3 190
9bec2387 191/**
b5ec1820 192 \brief Main context structure for all libftdi functions.
a01d31e2 193
9bec2387
TJ
194 Do not access directly if possible.
195*/
22d12cda
TJ
196struct ftdi_context
197{
91c9886b 198 /* USB specific */
02212d8e
UB
199 /** libusb's context */
200 struct libusb_context *usb_ctx;
91c9886b 201 /** libusb's usb_dev_handle */
579b006f 202 struct libusb_device_handle *usb_dev;
91c9886b 203 /** usb read timeout */
545820ce 204 int usb_read_timeout;
91c9886b 205 /** usb write timeout */
545820ce 206 int usb_write_timeout;
a3da1d95 207
91c9886b
MJ
208 /* FTDI specific */
209 /** FTDI chip type */
53ad271d 210 enum ftdi_chip_type type;
91c9886b 211 /** baudrate */
a3da1d95 212 int baudrate;
91c9886b 213 /** bitbang mode state */
a3da1d95 214 unsigned char bitbang_enabled;
91c9886b 215 /** pointer to read buffer for ftdi_read_data */
948f9ada 216 unsigned char *readbuffer;
91c9886b 217 /** read buffer offset */
4ece2c24 218 unsigned int readbuffer_offset;
91c9886b 219 /** number of remaining data in internal read buffer */
4ece2c24 220 unsigned int readbuffer_remaining;
91c9886b 221 /** read buffer chunk size */
948f9ada 222 unsigned int readbuffer_chunksize;
91c9886b 223 /** write buffer chunk size */
948f9ada 224 unsigned int writebuffer_chunksize;
e2f12a4f
TJ
225 /** maximum packet size. Needed for filtering modem status bytes every n packets. */
226 unsigned int max_packet_size;
d9f0cce7 227
91c9886b
MJ
228 /* FTDI FT2232C requirecments */
229 /** FT2232C interface number: 0 or 1 */
230 int interface; /* 0 or 1 */
231 /** FT2232C index number: 1 or 2 */
232 int index; /* 1 or 2 */
233 /* Endpoints */
234 /** FT2232C end points: 1 or 2 */
545820ce 235 int in_ep;
91c9886b 236 int out_ep; /* 1 or 2 */
c3d95b87 237
91c9886b 238 /** Bitbang mode. 1: (default) Normal bitbang mode, 2: FT2232C SPI bitbang mode */
3119537f 239 unsigned char bitbang_mode;
545820ce 240
c0a96aed
UB
241 /** Decoded eeprom structure */
242 struct ftdi_eeprom *eeprom;
c201f80f 243
91c9886b 244 /** String representation of last error */
a3da1d95 245 char *error_str;
a3d86bdb
TJ
246
247 /** Defines behavior in case a kernel module is already attached to the device */
248 enum ftdi_module_detach_mode module_detach_mode;
a3da1d95
GE
249};
250
9bec2387 251/**
44ef02bd
UB
252 List all handled EEPROM values.
253 Append future new values only at the end to provide API/ABI stability*/
254enum ftdi_eeprom_value
255{
256 VENDOR_ID = 0,
257 PRODUCT_ID = 1,
258 SELF_POWERED = 2,
259 REMOTE_WAKEUP = 3,
260 IS_NOT_PNP = 4,
261 SUSPEND_DBUS7 = 5,
262 IN_IS_ISOCHRONOUS = 6,
263 OUT_IS_ISOCHRONOUS = 7,
264 SUSPEND_PULL_DOWNS = 8,
265 USE_SERIAL = 9,
266 USB_VERSION = 10,
267 USE_USB_VERSION = 11,
268 MAX_POWER = 12,
269 CHANNEL_A_TYPE = 13,
270 CHANNEL_B_TYPE = 14,
271 CHANNEL_A_DRIVER = 15,
272 CHANNEL_B_DRIVER = 16,
273 CBUS_FUNCTION_0 = 17,
274 CBUS_FUNCTION_1 = 18,
275 CBUS_FUNCTION_2 = 19,
276 CBUS_FUNCTION_3 = 20,
277 CBUS_FUNCTION_4 = 21,
263d3ba0
UB
278 CBUS_FUNCTION_5 = 22,
279 CBUS_FUNCTION_6 = 23,
280 CBUS_FUNCTION_7 = 24,
281 CBUS_FUNCTION_8 = 25,
282 CBUS_FUNCTION_9 = 26,
283 HIGH_CURRENT = 27,
284 HIGH_CURRENT_A = 28,
285 HIGH_CURRENT_B = 29,
286 INVERT = 30,
287 GROUP0_DRIVE = 31,
288 GROUP0_SCHMITT = 32,
289 GROUP0_SLEW = 33,
290 GROUP1_DRIVE = 34,
291 GROUP1_SCHMITT = 35,
292 GROUP1_SLEW = 36,
293 GROUP2_DRIVE = 37,
294 GROUP2_SCHMITT = 38,
295 GROUP2_SLEW = 39,
296 GROUP3_DRIVE = 40,
297 GROUP3_SCHMITT = 41,
298 GROUP3_SLEW = 42,
299 CHIP_SIZE = 43,
300 CHIP_TYPE = 44,
301 POWER_SAVE = 45,
302 CLOCK_POLARITY = 46,
303 DATA_ORDER = 47,
be4bae37
AL
304 FLOW_CONTROL = 48,
305 CHANNEL_C_DRIVER = 49,
306 CHANNEL_D_DRIVER = 50,
307 CHANNEL_A_RS485 = 51,
308 CHANNEL_B_RS485 = 52,
309 CHANNEL_C_RS485 = 53,
310 CHANNEL_D_RS485 = 54,
44ef02bd
UB
311};
312
313/**
b5ec1820 314 \brief list of usb devices created by ftdi_usb_find_all()
9bec2387 315*/
22d12cda
TJ
316struct ftdi_device_list
317{
91c9886b 318 /** pointer to next entry */
edb82cbf 319 struct ftdi_device_list *next;
91c9886b 320 /** pointer to libusb's usb_device */
579b006f 321 struct libusb_device *dev;
edb82cbf 322};
18199b76 323#define FT1284_CLK_IDLE_STATE 0x01
7a172caf 324#define FT1284_DATA_LSB 0x02 /* DS_FT232H 1.3 amd ftd2xx.h 1.0.4 disagree here*/
18199b76 325#define FT1284_FLOW_CONTROL 0x04
837a71d6
UB
326#define POWER_SAVE_DISABLE_H 0x80
327
a02587d5 328#define USE_SERIAL_NUM 0x08
45619eaa
UB
329enum ftdi_cbus_func {/* FIXME: Recheck value, especially the last */
330 CBUS_TXDEN = 0, CBUS_PWREN = 1, CBUS_RXLED = 2, CBUS_TXLED = 3, CBUS_TXRXLED = 4,
331 CBUS_SLEEP = 5, CBUS_CLK48 = 6, CBUS_CLK24 = 7, CBUS_CLK12 = 8, CBUS_CLK6 = 9,
2c2953e6 332 CBUS_IOMODE = 0xa, CBUS_BB_WR = 0xb, CBUS_BB_RD = 0xc, CBUS_BB = 0xd};
f505134f 333
263d3ba0
UB
334enum ftdi_cbush_func {/* FIXME: Recheck value, especially the last */
335 CBUSH_TRISTATE = 0, CBUSH_RXLED = 1, CBUSH_TXLED = 2, CBUSH_TXRXLED = 3, CBUSH_PWREN = 4,
336 CBUSH_SLEEP = 5, CBUSH_DRIVE_0 = 6, CBUSG_DRIVE1 = 7, CBUSH_IOMODE = 8, CBUSH_TXDEN = 9,
337 CBUSH_CLK30 = 0xa, CBUSH_CLK15 = 0xb, CBUSH_CLK7_5 = 0xc};
338
f505134f
HK
339/** Invert TXD# */
340#define INVERT_TXD 0x01
341/** Invert RXD# */
342#define INVERT_RXD 0x02
343/** Invert RTS# */
344#define INVERT_RTS 0x04
345/** Invert CTS# */
346#define INVERT_CTS 0x08
347/** Invert DTR# */
348#define INVERT_DTR 0x10
349/** Invert DSR# */
350#define INVERT_DSR 0x20
351/** Invert DCD# */
352#define INVERT_DCD 0x40
353/** Invert RI# */
354#define INVERT_RI 0x80
355
308f1fa7
UB
356/** Interface Mode. */
357#define CHANNEL_IS_UART 0x0
c8f69686
UB
358#define CHANNEL_IS_FIFO 0x1
359#define CHANNEL_IS_OPTO 0x2
360#define CHANNEL_IS_CPU 0x4
361#define CHANNEL_IS_FT1284 0x8
308f1fa7 362
be4bae37
AL
363#define CHANNEL_IS_RS485 0x10
364
db099ec5
UB
365#define DRIVE_4MA 0
366#define DRIVE_8MA 1
367#define DRIVE_12MA 2
368#define DRIVE_16MA 3
369#define SLOW_SLEW 4
370#define IS_SCHMITT 8
371
308f1fa7 372/** Driver Type. */
2cde7c52 373#define DRIVER_VCP 0x08
ac4a82a5 374#define DRIVER_VCPH 0x10 /* FT232H has moved the VCP bit */
308f1fa7 375
caec1294
UB
376#define USE_USB_VERSION_BIT 0x10
377
ec0dcd3f 378#define SUSPEND_DBUS7_BIT 0x80
02e4a740 379
f505134f 380/** High current drive. */
ca41c8ee 381#define HIGH_CURRENT_DRIVE 0x10
75388926 382#define HIGH_CURRENT_DRIVE_R 0x04
f505134f 383
9bec2387 384/**
40da63b1
UB
385 \brief Progress Info for streaming read
386*/
387struct size_and_time
388{
389 uint64_t totalBytes;
390 struct timeval time;
391};
392
393typedef struct
394{
395 struct size_and_time first;
396 struct size_and_time prev;
397 struct size_and_time current;
398 double totalTime;
399 double totalRate;
400 double currentRate;
401} FTDIProgressInfo;
402
403typedef int (FTDIStreamCallback)(uint8_t *buffer, int length,
404 FTDIProgressInfo *progress, void *userdata);
405
0220adfa
TJ
406/**
407 * Provide libftdi version information
408 * major: Library major version
409 * minor: Library minor version
410 * micro: Currently unused, ight get used for hotfixes.
411 * version_str: Version as (static) string
412 * snapshot_str: Git snapshot version if known. Otherwise "unknown" or empty string.
413*/
414struct ftdi_version_info
415{
416 int major;
417 int minor;
418 int micro;
419 const char *version_str;
420 const char *snapshot_str;
421};
422
40da63b1 423
a3da1d95 424#ifdef __cplusplus
22d12cda
TJ
425extern "C"
426{
a3da1d95
GE
427#endif
428
429 int ftdi_init(struct ftdi_context *ftdi);
672ac008 430 struct ftdi_context *ftdi_new(void);
0ce2f5fa 431 int ftdi_set_interface(struct ftdi_context *ftdi, enum ftdi_interface interface);
c4446c36 432
948f9ada 433 void ftdi_deinit(struct ftdi_context *ftdi);
cef378aa 434 void ftdi_free(struct ftdi_context *ftdi);
579b006f 435 void ftdi_set_usbdev (struct ftdi_context *ftdi, struct libusb_device_handle *usbdev);
a01d31e2 436
0220adfa
TJ
437 struct ftdi_version_info ftdi_get_library_version();
438
edb82cbf
TJ
439 int ftdi_usb_find_all(struct ftdi_context *ftdi, struct ftdi_device_list **devlist,
440 int vendor, int product);
441 void ftdi_list_free(struct ftdi_device_list **devlist);
cef378aa 442 void ftdi_list_free2(struct ftdi_device_list *devlist);
579b006f 443 int ftdi_usb_get_strings(struct ftdi_context *ftdi, struct libusb_device *dev,
474786c0
TJ
444 char * manufacturer, int mnf_len,
445 char * description, int desc_len,
446 char * serial, int serial_len);
a01d31e2 447
a3da1d95 448 int ftdi_usb_open(struct ftdi_context *ftdi, int vendor, int product);
a8f46ddc
TJ
449 int ftdi_usb_open_desc(struct ftdi_context *ftdi, int vendor, int product,
450 const char* description, const char* serial);
5ebbdab9
GE
451 int ftdi_usb_open_desc_index(struct ftdi_context *ftdi, int vendor, int product,
452 const char* description, const char* serial, unsigned int index);
579b006f 453 int ftdi_usb_open_dev(struct ftdi_context *ftdi, struct libusb_device *dev);
5ebbdab9 454 int ftdi_usb_open_string(struct ftdi_context *ftdi, const char* description);
1941414d 455
a3da1d95
GE
456 int ftdi_usb_close(struct ftdi_context *ftdi);
457 int ftdi_usb_reset(struct ftdi_context *ftdi);
1189b11a
TJ
458 int ftdi_usb_purge_rx_buffer(struct ftdi_context *ftdi);
459 int ftdi_usb_purge_tx_buffer(struct ftdi_context *ftdi);
a60be878 460 int ftdi_usb_purge_buffers(struct ftdi_context *ftdi);
a3da1d95
GE
461
462 int ftdi_set_baudrate(struct ftdi_context *ftdi, int baudrate);
2f73e59f
TJ
463 int ftdi_set_line_property(struct ftdi_context *ftdi, enum ftdi_bits_type bits,
464 enum ftdi_stopbits_type sbit, enum ftdi_parity_type parity);
6c32e222 465 int ftdi_set_line_property2(struct ftdi_context *ftdi, enum ftdi_bits_type bits,
22d12cda
TJ
466 enum ftdi_stopbits_type sbit, enum ftdi_parity_type parity,
467 enum ftdi_break_type break_type);
948f9ada 468
be5d7eec 469 int ftdi_read_data(struct ftdi_context *ftdi, unsigned char *buf, int size);
948f9ada
TJ
470 int ftdi_read_data_set_chunksize(struct ftdi_context *ftdi, unsigned int chunksize);
471 int ftdi_read_data_get_chunksize(struct ftdi_context *ftdi, unsigned int *chunksize);
472
473 int ftdi_write_data(struct ftdi_context *ftdi, unsigned char *buf, int size);
474 int ftdi_write_data_set_chunksize(struct ftdi_context *ftdi, unsigned int chunksize);
475 int ftdi_write_data_get_chunksize(struct ftdi_context *ftdi, unsigned int *chunksize);
a3da1d95 476
1ec530dc 477 int ftdi_readstream(struct ftdi_context *ftdi, FTDIStreamCallback *callback,
50f4957a 478 void *userdata, int packetsPerTransfer, int numTransfers);
1ec530dc 479 struct ftdi_transfer_control *ftdi_write_data_submit(struct ftdi_context *ftdi, unsigned char *buf, int size);
7cc9950e 480
866a6dbb
JZ
481 struct ftdi_transfer_control *ftdi_read_data_submit(struct ftdi_context *ftdi, unsigned char *buf, int size);
482 int ftdi_transfer_data_done(struct ftdi_transfer_control *tc);
483
c4446c36 484 int ftdi_set_bitmode(struct ftdi_context *ftdi, unsigned char bitmask, unsigned char mode);
2d790e37 485 int ftdi_disable_bitbang(struct ftdi_context *ftdi);
a3da1d95
GE
486 int ftdi_read_pins(struct ftdi_context *ftdi, unsigned char *pins);
487
488 int ftdi_set_latency_timer(struct ftdi_context *ftdi, unsigned char latency);
489 int ftdi_get_latency_timer(struct ftdi_context *ftdi, unsigned char *latency);
490
1189b11a
TJ
491 int ftdi_poll_modem_status(struct ftdi_context *ftdi, unsigned short *status);
492
91c9886b 493 /* flow control */
a7fb8440 494 int ftdi_setflowctrl(struct ftdi_context *ftdi, int flowctrl);
9ecfef2a 495 int ftdi_setdtr_rts(struct ftdi_context *ftdi, int dtr, int rts);
a7fb8440
TJ
496 int ftdi_setdtr(struct ftdi_context *ftdi, int state);
497 int ftdi_setrts(struct ftdi_context *ftdi, int state);
498
1189b11a
TJ
499 int ftdi_set_event_char(struct ftdi_context *ftdi, unsigned char eventch, unsigned char enable);
500 int ftdi_set_error_char(struct ftdi_context *ftdi, unsigned char errorch, unsigned char enable);
501
f14f84d3
UB
502 /* init eeprom for the given FTDI type */
503 int ftdi_eeprom_initdefaults(struct ftdi_context *ftdi,
74e8e79d
UB
504 char * manufacturer, char *product,
505 char * serial);
a35aa9bd
UB
506 int ftdi_eeprom_build(struct ftdi_context *ftdi);
507 int ftdi_eeprom_decode(struct ftdi_context *ftdi, int verbose);
b8aa7b35 508
44ef02bd
UB
509 int ftdi_get_eeprom_value(struct ftdi_context *ftdi, enum ftdi_eeprom_value value_name, int* value);
510 int ftdi_set_eeprom_value(struct ftdi_context *ftdi, enum ftdi_eeprom_value value_name, int value);
511
512 int ftdi_get_eeprom_buf(struct ftdi_context *ftdi, unsigned char * buf, int size);
672fd368 513 int ftdi_set_eeprom_buf(struct ftdi_context *ftdi, const unsigned char * buf, int size);
44ef02bd 514
a35aa9bd 515 int ftdi_read_eeprom(struct ftdi_context *ftdi);
cb6250fa 516 int ftdi_read_chipid(struct ftdi_context *ftdi, unsigned int *chipid);
a35aa9bd 517 int ftdi_write_eeprom(struct ftdi_context *ftdi);
b8aa7b35 518 int ftdi_erase_eeprom(struct ftdi_context *ftdi);
a3da1d95 519
c1c70e13
OS
520 int ftdi_read_eeprom_location (struct ftdi_context *ftdi, int eeprom_addr, unsigned short *eeprom_val);
521 int ftdi_write_eeprom_location(struct ftdi_context *ftdi, int eeprom_addr, unsigned short eeprom_val);
522
c3d95b87 523 char *ftdi_get_error_string(struct ftdi_context *ftdi);
c1c70e13 524
a3da1d95
GE
525#ifdef __cplusplus
526}
527#endif
528
529#endif /* __libftdi_h__ */