created arg recursion_count to async_resolve and many other to avoid infinite loops
[pingcheck] / src / config / option / resolvedipttlthresholdoption.cpp
CommitLineData
079d19ab
CH
1/*
2 The software in this package is distributed under the GNU General
3 Public License version 2 (with a special exception described below).
4
5 A copy of GNU General Public License (GPL) is included in this distribution,
6 in the file COPYING.GPL.
7
8 As a special exception, if other files instantiate templates or use macros
9 or inline functions from this file, or you compile this file and link it
10 with other works to produce a work based on this file, this file
11 does not by itself cause the resulting work to be covered
12 by the GNU General Public License.
13
14 However the source code for this file must still be made available
15 in accordance with section (3) of the GNU General Public License.
16
17 This exception does not invalidate any other reasons why a work based
18 on this file might be covered by the GNU General Public License.
19 */
20
21#include "config/option/resolvedipttlthresholdoption.h"
22
23#include <logfunc.hpp>
24
25using namespace std;
26using boost::program_options::value;
27using boost::program_options::variables_map;
28using I2n::Logger::GlobalLogger;
29
30//-----------------------------------------------------------------------------
31// ResolvedIpTtlThresholdOption
32//-----------------------------------------------------------------------------
33
34ResolvedIpTtlThresholdOption::ResolvedIpTtlThresholdOption() :
35 ConfigurationOption(
36 "resolved-ip-ttl-threshold",
37 value<int>()->default_value( 10 ),
cd71d095 38 "Minimum time in seconds that IP has to be valid when testing for refresh"
079d19ab
CH
39 )
40{
41}
42
43ResolvedIpTtlThresholdOption::~ResolvedIpTtlThresholdOption()
44{
45}
46
47bool ResolvedIpTtlThresholdOption::parse(
48 const variables_map& vm,
49 Configuration *configuration
50)
51{
52 // default-source-network-interface
53 if ( 1 <= vm.count( get_command_string() ) )
54 {
55 int resolved_ip_ttl_threshold = vm[ get_command_string() ].as<int> ();
56 configuration->set_resolved_ip_ttl_threshold( resolved_ip_ttl_threshold );
57
58 GlobalLogger.info() << get_command_string() << "="
59 << resolved_ip_ttl_threshold << endl;
60
61 return true;
62 }
63
64 return false;
65}