libftdi Archives

Subject: Re: working example for FT2232H in sync fifo mode

From: bon@xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
To: libftdi@xxxxxxxxxxxxxxxxxxxxxxx
Date: Thu, 28 Nov 2013 15:11:07 +0100
>>>>> "Andre" == Andre Haupt <andre@xxxxxxxxxxxxxxx> writes:

    Andre> Hi all, I am facing some difficulties when trying to use a
    Andre> FT2232H in syncronuous fifo mode.  We have an FPGA hooked up to
    Andre> the FT2232H that can send and receive from/to the fifo.  My
    Andre> problem is that writing to the device with ftdi_write_data()
    Andre> always fails after a few bytes.

    Andre> Am i missing something in my setup code (attached)?  Does anybody
    Andre> have a working example for writing in synchronuous fifo mode?
    Andre> What else does one have to consider when using sync fifo mode?

We only have hardware reading from the FT2232H in sync fifo mode, so
probably writing was not tested and the software is only in the "should
work" state. Next state is "works for me" with the state "works" still not
reached ;-)

Bye

-- 
Uwe Bonnes                bon@xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx

Institut fuer Kernphysik  Schlossgartenstrasse 9  64289 Darmstadt
--------- Tel. 06151 162516 -------- Fax. 06151 164321 ----------

--
libftdi - see http://www.intra2net.com/en/developer/libftdi for details.
To unsubscribe send a mail to libftdi+unsubscribe@xxxxxxxxxxxxxxxxxxxxxxx   

Current Thread