Handle the FT232H CBUS Bits, Keep Values list numbered
[libftdi] / src / ftdi.h
1 /***************************************************************************
2                           ftdi.h  -  description
3                              -------------------
4     begin                : Fri Apr 4 2003
5     copyright            : (C) 2003-2011 by Intra2net AG and the libftdi developers
6     email                : opensource@intra2net.com
7  ***************************************************************************/
8
9 /***************************************************************************
10  *                                                                         *
11  *   This program is free software; you can redistribute it and/or modify  *
12  *   it under the terms of the GNU Lesser General Public License           *
13  *   version 2.1 as published by the Free Software Foundation;             *
14  *                                                                         *
15  ***************************************************************************/
16
17 #ifndef __libftdi_h__
18 #define __libftdi_h__
19
20 #include <libusb.h>
21
22 /* Even on 93xx66 at max 256 bytes are used (AN_121)*/
23 #define FTDI_MAX_EEPROM_SIZE 256
24
25 /** FTDI chip type */
26 enum ftdi_chip_type { TYPE_AM=0, TYPE_BM=1, TYPE_2232C=2, TYPE_R=3, TYPE_2232H=4, TYPE_4232H=5, TYPE_232H=6 };
27 /** Parity mode for ftdi_set_line_property() */
28 enum ftdi_parity_type { NONE=0, ODD=1, EVEN=2, MARK=3, SPACE=4 };
29 /** Number of stop bits for ftdi_set_line_property() */
30 enum ftdi_stopbits_type { STOP_BIT_1=0, STOP_BIT_15=1, STOP_BIT_2=2 };
31 /** Number of bits for ftdi_set_line_property() */
32 enum ftdi_bits_type { BITS_7=7, BITS_8=8 };
33 /** Break type for ftdi_set_line_property2() */
34 enum ftdi_break_type { BREAK_OFF=0, BREAK_ON=1 };
35
36 /** MPSSE bitbang modes */
37 enum ftdi_mpsse_mode
38 {
39     BITMODE_RESET  = 0x00,    /**< switch off bitbang mode, back to regular serial/FIFO */
40     BITMODE_BITBANG= 0x01,    /**< classical asynchronous bitbang mode, introduced with B-type chips */
41     BITMODE_MPSSE  = 0x02,    /**< MPSSE mode, available on 2232x chips */
42     BITMODE_SYNCBB = 0x04,    /**< synchronous bitbang mode, available on 2232x and R-type chips  */
43     BITMODE_MCU    = 0x08,    /**< MCU Host Bus Emulation mode, available on 2232x chips */
44                               /* CPU-style fifo mode gets set via EEPROM */
45     BITMODE_OPTO   = 0x10,    /**< Fast Opto-Isolated Serial Interface Mode, available on 2232x chips  */
46     BITMODE_CBUS   = 0x20,    /**< Bitbang on CBUS pins of R-type chips, configure in EEPROM before */
47     BITMODE_SYNCFF = 0x40,    /**< Single Channel Synchronous FIFO mode, available on 2232H chips */
48 };
49
50 /** Port interface for chips with multiple interfaces */
51 enum ftdi_interface
52 {
53     INTERFACE_ANY = 0,
54     INTERFACE_A   = 1,
55     INTERFACE_B   = 2,
56     INTERFACE_C   = 3,
57     INTERFACE_D   = 4
58 };
59
60 /** Automatic loading / unloading of kernel modules */
61 enum ftdi_module_detach_mode
62 {
63     AUTO_DETACH_SIO_MODULE = 0,
64     DONT_DETACH_SIO_MODULE = 1
65 };
66
67 /* Shifting commands IN MPSSE Mode*/
68 #define MPSSE_WRITE_NEG 0x01   /* Write TDI/DO on negative TCK/SK edge*/
69 #define MPSSE_BITMODE   0x02   /* Write bits, not bytes */
70 #define MPSSE_READ_NEG  0x04   /* Sample TDO/DI on negative TCK/SK edge */
71 #define MPSSE_LSB       0x08   /* LSB first */
72 #define MPSSE_DO_WRITE  0x10   /* Write TDI/DO */
73 #define MPSSE_DO_READ   0x20   /* Read TDO/DI */
74 #define MPSSE_WRITE_TMS 0x40   /* Write TMS/CS */
75
76 /* FTDI MPSSE commands */
77 #define SET_BITS_LOW   0x80
78 /*BYTE DATA*/
79 /*BYTE Direction*/
80 #define SET_BITS_HIGH  0x82
81 /*BYTE DATA*/
82 /*BYTE Direction*/
83 #define GET_BITS_LOW   0x81
84 #define GET_BITS_HIGH  0x83
85 #define LOOPBACK_START 0x84
86 #define LOOPBACK_END   0x85
87 #define TCK_DIVISOR    0x86
88 /* H Type specific commands */
89 #define DIS_DIV_5       0x8a
90 #define EN_DIV_5        0x8b
91 #define EN_3_PHASE      0x8c
92 #define DIS_3_PHASE     0x8d
93 #define CLK_BITS        0x8e
94 #define CLK_BYTES       0x8f
95 #define CLK_WAIT_HIGH   0x94
96 #define CLK_WAIT_LOW    0x95
97 #define EN_ADAPTIVE     0x96
98 #define DIS_ADAPTIVE    0x97
99 #define CLK_BYTES_OR_HIGH 0x9c
100 #define CLK_BYTES_OR_LOW  0x0d
101 /*FT232H specific commands */
102 #define DRIVE_OPEN_COLLECTOR 0x9e
103 /* Value Low */
104 /* Value HIGH */ /*rate is 12000000/((1+value)*2) */
105 #define DIV_VALUE(rate) (rate > 6000000)?0:((6000000/rate -1) > 0xffff)? 0xffff: (6000000/rate -1)
106
107 /* Commands in MPSSE and Host Emulation Mode */
108 #define SEND_IMMEDIATE 0x87
109 #define WAIT_ON_HIGH   0x88
110 #define WAIT_ON_LOW    0x89
111
112 /* Commands in Host Emulation Mode */
113 #define READ_SHORT     0x90
114 /* Address_Low */
115 #define READ_EXTENDED  0x91
116 /* Address High */
117 /* Address Low  */
118 #define WRITE_SHORT    0x92
119 /* Address_Low */
120 #define WRITE_EXTENDED 0x93
121 /* Address High */
122 /* Address Low  */
123
124 /* Definitions for flow control */
125 #define SIO_RESET          0 /* Reset the port */
126 #define SIO_MODEM_CTRL     1 /* Set the modem control register */
127 #define SIO_SET_FLOW_CTRL  2 /* Set flow control register */
128 #define SIO_SET_BAUD_RATE  3 /* Set baud rate */
129 #define SIO_SET_DATA       4 /* Set the data characteristics of the port */
130
131 #define FTDI_DEVICE_OUT_REQTYPE (LIBUSB_REQUEST_TYPE_VENDOR | LIBUSB_RECIPIENT_DEVICE | LIBUSB_ENDPOINT_OUT)
132 #define FTDI_DEVICE_IN_REQTYPE (LIBUSB_REQUEST_TYPE_VENDOR | LIBUSB_RECIPIENT_DEVICE | LIBUSB_ENDPOINT_IN)
133
134 /* Requests */
135 #define SIO_RESET_REQUEST             SIO_RESET
136 #define SIO_SET_BAUDRATE_REQUEST      SIO_SET_BAUD_RATE
137 #define SIO_SET_DATA_REQUEST          SIO_SET_DATA
138 #define SIO_SET_FLOW_CTRL_REQUEST     SIO_SET_FLOW_CTRL
139 #define SIO_SET_MODEM_CTRL_REQUEST    SIO_MODEM_CTRL
140 #define SIO_POLL_MODEM_STATUS_REQUEST 0x05
141 #define SIO_SET_EVENT_CHAR_REQUEST    0x06
142 #define SIO_SET_ERROR_CHAR_REQUEST    0x07
143 #define SIO_SET_LATENCY_TIMER_REQUEST 0x09
144 #define SIO_GET_LATENCY_TIMER_REQUEST 0x0A
145 #define SIO_SET_BITMODE_REQUEST       0x0B
146 #define SIO_READ_PINS_REQUEST         0x0C
147 #define SIO_READ_EEPROM_REQUEST       0x90
148 #define SIO_WRITE_EEPROM_REQUEST      0x91
149 #define SIO_ERASE_EEPROM_REQUEST      0x92
150
151
152 #define SIO_RESET_SIO 0
153 #define SIO_RESET_PURGE_RX 1
154 #define SIO_RESET_PURGE_TX 2
155
156 #define SIO_DISABLE_FLOW_CTRL 0x0
157 #define SIO_RTS_CTS_HS (0x1 << 8)
158 #define SIO_DTR_DSR_HS (0x2 << 8)
159 #define SIO_XON_XOFF_HS (0x4 << 8)
160
161 #define SIO_SET_DTR_MASK 0x1
162 #define SIO_SET_DTR_HIGH ( 1 | ( SIO_SET_DTR_MASK  << 8))
163 #define SIO_SET_DTR_LOW  ( 0 | ( SIO_SET_DTR_MASK  << 8))
164 #define SIO_SET_RTS_MASK 0x2
165 #define SIO_SET_RTS_HIGH ( 2 | ( SIO_SET_RTS_MASK << 8 ))
166 #define SIO_SET_RTS_LOW ( 0 | ( SIO_SET_RTS_MASK << 8 ))
167
168 #define SIO_RTS_CTS_HS (0x1 << 8)
169
170 /* marker for unused usb urb structures
171    (taken from libusb) */
172 #define FTDI_URB_USERCONTEXT_COOKIE ((void *)0x1)
173
174 #ifdef __GNUC__
175     #define DEPRECATED(func) func __attribute__ ((deprecated))
176 #elif defined(_MSC_VER)
177     #define DEPRECATED(func) __declspec(deprecated) func
178 #else
179     #pragma message("WARNING: You need to implement DEPRECATED for this compiler")
180     #define DEPRECATED(func) func
181 #endif
182
183 struct ftdi_transfer_control
184 {
185     int completed;
186     unsigned char *buf;
187     int size;
188     int offset;
189     struct ftdi_context *ftdi;
190     struct libusb_transfer *transfer;
191 };
192
193 /**
194     \brief FTDI eeprom structure
195 */
196 struct ftdi_eeprom
197 {
198     /** vendor id */
199     int vendor_id;
200     /** product id */
201     int product_id;
202
203     /** self powered */
204     int self_powered;
205     /** remote wakeup */
206     int remote_wakeup;
207
208     int is_not_pnp;
209
210     /* Suspend on DBUS7 Low */
211     int suspend_dbus7;
212
213     /** input in isochronous transfer mode */
214     int in_is_isochronous;
215     /** output in isochronous transfer mode */
216     int out_is_isochronous;
217     /** suspend pull downs */
218     int suspend_pull_downs;
219
220     /** use serial */
221     int use_serial;
222     /** usb version */
223     int usb_version;
224     /** Use usb version on FT2232 devices*/
225     int use_usb_version;
226      /** maximum power */
227     int max_power;
228
229     /** manufacturer name */
230     char *manufacturer;
231     /** product name */
232     char *product;
233     /** serial number */
234     char *serial;
235
236     /* 2232D/H(/FT4432H?) specific */
237     /* Hardware type, 0 = RS232 Uart, 1 = 245 FIFO, 2 = CPU FIFO, 
238        4 = OPTO Isolate */
239     int channel_a_type;
240     int channel_b_type;
241     /*  Driver Type, 1 = VCP */
242     int channel_a_driver;
243     int channel_b_driver;
244
245     /* Special function of FT232R/FT232H devices (and possibly others as well) */
246     /** CBUS pin function. See CBUS_xxx defines. */
247     int cbus_function[9];
248     /** Select hight current drive on R devices. */
249     int high_current;
250     /** Select hight current drive on A channel (2232C */
251     int high_current_a;
252     /** Select hight current drive on B channel (2232C). */
253     int high_current_b;
254     /** Select inversion of data lines (bitmask). */
255     int invert;
256
257     /*2232H/4432H Group specific values */
258     /* Group0 is AL on 2322H and A on 4232H
259        Group1 is AH on 2232H and B on 4232H
260        Group2 is BL on 2322H and C on 4232H
261        Group3 is BH on 2232H and C on 4232H*/
262     int group0_drive;
263     int group0_schmitt;
264     int group0_slew;
265     int group1_drive;
266     int group1_schmitt;
267     int group1_slew;
268     int group2_drive;
269     int group2_schmitt;
270     int group2_slew;
271     int group3_drive;
272     int group3_schmitt;
273     int group3_slew;
274
275     int powersave;
276     
277     int clock_polarity;
278     int data_order;
279     int flow_control;
280
281     /** eeprom size in bytes. This doesn't get stored in the eeprom
282         but is the only way to pass it to ftdi_eeprom_build. */
283     int size;
284     /* EEPROM Type 0x46 for 93xx46, 0x56 for 93xx56 and 0x66 for 93xx66*/
285     int chip;
286     unsigned char buf[FTDI_MAX_EEPROM_SIZE];
287 };
288
289 /**
290     \brief Main context structure for all libftdi functions.
291
292     Do not access directly if possible.
293 */
294 struct ftdi_context
295 {
296     /* USB specific */
297     /** libusb's context */
298     struct libusb_context *usb_ctx;
299     /** libusb's usb_dev_handle */
300     struct libusb_device_handle *usb_dev;
301     /** usb read timeout */
302     int usb_read_timeout;
303     /** usb write timeout */
304     int usb_write_timeout;
305
306     /* FTDI specific */
307     /** FTDI chip type */
308     enum ftdi_chip_type type;
309     /** baudrate */
310     int baudrate;
311     /** bitbang mode state */
312     unsigned char bitbang_enabled;
313     /** pointer to read buffer for ftdi_read_data */
314     unsigned char *readbuffer;
315     /** read buffer offset */
316     unsigned int readbuffer_offset;
317     /** number of remaining data in internal read buffer */
318     unsigned int readbuffer_remaining;
319     /** read buffer chunk size */
320     unsigned int readbuffer_chunksize;
321     /** write buffer chunk size */
322     unsigned int writebuffer_chunksize;
323     /** maximum packet size. Needed for filtering modem status bytes every n packets. */
324     unsigned int max_packet_size;
325
326     /* FTDI FT2232C requirecments */
327     /** FT2232C interface number: 0 or 1 */
328     int interface;   /* 0 or 1 */
329     /** FT2232C index number: 1 or 2 */
330     int index;       /* 1 or 2 */
331     /* Endpoints */
332     /** FT2232C end points: 1 or 2 */
333     int in_ep;
334     int out_ep;      /* 1 or 2 */
335
336     /** Bitbang mode. 1: (default) Normal bitbang mode, 2: FT2232C SPI bitbang mode */
337     unsigned char bitbang_mode;
338
339     /** Decoded eeprom structure */
340     struct ftdi_eeprom *eeprom;
341
342     /** String representation of last error */
343     char *error_str;
344
345     /** Defines behavior in case a kernel module is already attached to the device */
346     enum ftdi_module_detach_mode module_detach_mode;
347 };
348
349 /**
350  List all handled EEPROM values.
351    Append future new values only at the end to provide API/ABI stability*/
352 enum ftdi_eeprom_value
353 {
354     VENDOR_ID          = 0,
355     PRODUCT_ID         = 1,
356     SELF_POWERED       = 2,
357     REMOTE_WAKEUP      = 3,
358     IS_NOT_PNP         = 4,
359     SUSPEND_DBUS7      = 5,
360     IN_IS_ISOCHRONOUS  = 6,
361     OUT_IS_ISOCHRONOUS = 7,
362     SUSPEND_PULL_DOWNS = 8,
363     USE_SERIAL         = 9,
364     USB_VERSION        = 10,
365     USE_USB_VERSION    = 11,
366     MAX_POWER          = 12,
367     CHANNEL_A_TYPE     = 13,
368     CHANNEL_B_TYPE     = 14,
369     CHANNEL_A_DRIVER   = 15,
370     CHANNEL_B_DRIVER   = 16,
371     CBUS_FUNCTION_0    = 17,
372     CBUS_FUNCTION_1    = 18,
373     CBUS_FUNCTION_2    = 19,
374     CBUS_FUNCTION_3    = 20,
375     CBUS_FUNCTION_4    = 21,
376     CBUS_FUNCTION_5    = 22,
377     CBUS_FUNCTION_6    = 23,
378     CBUS_FUNCTION_7    = 24,
379     CBUS_FUNCTION_8    = 25,
380     CBUS_FUNCTION_9    = 26,
381     HIGH_CURRENT       = 27,
382     HIGH_CURRENT_A     = 28,
383     HIGH_CURRENT_B     = 29,
384     INVERT             = 30,
385     GROUP0_DRIVE       = 31,
386     GROUP0_SCHMITT     = 32,
387     GROUP0_SLEW        = 33,
388     GROUP1_DRIVE       = 34,
389     GROUP1_SCHMITT     = 35,
390     GROUP1_SLEW        = 36,
391     GROUP2_DRIVE       = 37,
392     GROUP2_SCHMITT     = 38,
393     GROUP2_SLEW        = 39,
394     GROUP3_DRIVE       = 40,
395     GROUP3_SCHMITT     = 41,
396     GROUP3_SLEW        = 42,
397     CHIP_SIZE          = 43,
398     CHIP_TYPE          = 44,
399     POWER_SAVE         = 45,
400     CLOCK_POLARITY     = 46,
401     DATA_ORDER         = 47,
402     FLOW_CONTROL       = 48
403 };
404
405 /**
406     \brief list of usb devices created by ftdi_usb_find_all()
407 */
408 struct ftdi_device_list
409 {
410     /** pointer to next entry */
411     struct ftdi_device_list *next;
412     /** pointer to libusb's usb_device */
413     struct libusb_device *dev;
414 };
415 #define FT1284_CLK_IDLE_STATE 0x01
416 #define FT1284_DATA_LSB       0x02
417 #define FT1284_FLOW_CONTROL   0x04
418 #define POWER_SAVE_DISABLE_H 0x80
419
420 #define USE_SERIAL_NUM 0x08
421 enum ftdi_cbus_func {/* FIXME: Recheck value, especially the last */
422     CBUS_TXDEN = 0, CBUS_PWREN = 1, CBUS_RXLED = 2, CBUS_TXLED = 3, CBUS_TXRXLED = 4,
423     CBUS_SLEEP = 5, CBUS_CLK48 = 6, CBUS_CLK24 = 7, CBUS_CLK12 = 8, CBUS_CLK6 =  9,
424     CBUS_IOMODE = 0xa, CBUS_BB_WR = 0xb, CBUS_BB_RD = 0xc, CBUS_BB   = 0xd};
425
426 enum ftdi_cbush_func {/* FIXME: Recheck value, especially the last */
427     CBUSH_TRISTATE = 0, CBUSH_RXLED = 1, CBUSH_TXLED = 2, CBUSH_TXRXLED = 3, CBUSH_PWREN = 4,
428     CBUSH_SLEEP = 5, CBUSH_DRIVE_0 = 6, CBUSG_DRIVE1 = 7, CBUSH_IOMODE = 8, CBUSH_TXDEN =  9,
429     CBUSH_CLK30 = 0xa, CBUSH_CLK15 = 0xb, CBUSH_CLK7_5 = 0xc};
430
431 /** Invert TXD# */
432 #define INVERT_TXD 0x01
433 /** Invert RXD# */
434 #define INVERT_RXD 0x02
435 /** Invert RTS# */
436 #define INVERT_RTS 0x04
437 /** Invert CTS# */
438 #define INVERT_CTS 0x08
439 /** Invert DTR# */
440 #define INVERT_DTR 0x10
441 /** Invert DSR# */
442 #define INVERT_DSR 0x20
443 /** Invert DCD# */
444 #define INVERT_DCD 0x40
445 /** Invert RI# */
446 #define INVERT_RI  0x80
447
448 /** Interface Mode. */
449 #define CHANNEL_IS_UART 0x0
450 #define CHANNEL_IS_245  0x1
451 #define CHANNEL_IS_CPU  0x2
452 #define CHANNEL_IS_OPTO 0x4
453
454 #define DRIVE_4MA  0
455 #define DRIVE_8MA  1
456 #define DRIVE_12MA 2
457 #define DRIVE_16MA 3
458 #define SLOW_SLEW  4
459 #define IS_SCHMITT 8
460
461 /** Driver Type. */
462 #define DRIVER_VCP 0x08
463 #define DRIVER_VCPH 0x10 /* FT232H has moved the VCP bit */
464
465 #define USE_USB_VERSION_BIT 0x10
466
467 #define SUSPEND_DBUS7_BIT 0x80
468
469 /** High current drive. */
470 #define HIGH_CURRENT_DRIVE   0x10
471 #define HIGH_CURRENT_DRIVE_R 0x04
472
473 /**
474     \brief Progress Info for streaming read
475 */
476 struct size_and_time
477 {
478         uint64_t totalBytes;
479         struct timeval time;
480 };
481
482 typedef struct
483 {
484     struct size_and_time first;
485     struct size_and_time prev;
486     struct size_and_time current;
487     double totalTime;
488     double totalRate;
489     double currentRate;
490 } FTDIProgressInfo;
491
492 typedef int (FTDIStreamCallback)(uint8_t *buffer, int length,
493                                  FTDIProgressInfo *progress, void *userdata);
494
495
496 #ifdef __cplusplus
497 extern "C"
498 {
499 #endif
500
501     int ftdi_init(struct ftdi_context *ftdi);
502     struct ftdi_context *ftdi_new(void);
503     int ftdi_set_interface(struct ftdi_context *ftdi, enum ftdi_interface interface);
504
505     void ftdi_deinit(struct ftdi_context *ftdi);
506     void ftdi_free(struct ftdi_context *ftdi);
507     void ftdi_set_usbdev (struct ftdi_context *ftdi, struct libusb_device_handle *usbdev);
508
509     int ftdi_usb_find_all(struct ftdi_context *ftdi, struct ftdi_device_list **devlist,
510                           int vendor, int product);
511     void ftdi_list_free(struct ftdi_device_list **devlist);
512     void ftdi_list_free2(struct ftdi_device_list *devlist);
513     int ftdi_usb_get_strings(struct ftdi_context *ftdi, struct libusb_device *dev,
514                              char * manufacturer, int mnf_len,
515                              char * description, int desc_len,
516                              char * serial, int serial_len);
517
518     int ftdi_usb_open(struct ftdi_context *ftdi, int vendor, int product);
519     int ftdi_usb_open_desc(struct ftdi_context *ftdi, int vendor, int product,
520                            const char* description, const char* serial);
521     int ftdi_usb_open_desc_index(struct ftdi_context *ftdi, int vendor, int product,
522                            const char* description, const char* serial, unsigned int index);
523     int ftdi_usb_open_dev(struct ftdi_context *ftdi, struct libusb_device *dev);
524     int ftdi_usb_open_string(struct ftdi_context *ftdi, const char* description);
525
526     int ftdi_usb_close(struct ftdi_context *ftdi);
527     int ftdi_usb_reset(struct ftdi_context *ftdi);
528     int ftdi_usb_purge_rx_buffer(struct ftdi_context *ftdi);
529     int ftdi_usb_purge_tx_buffer(struct ftdi_context *ftdi);
530     int ftdi_usb_purge_buffers(struct ftdi_context *ftdi);
531
532     int ftdi_set_baudrate(struct ftdi_context *ftdi, int baudrate);
533     int ftdi_set_line_property(struct ftdi_context *ftdi, enum ftdi_bits_type bits,
534                                enum ftdi_stopbits_type sbit, enum ftdi_parity_type parity);
535     int ftdi_set_line_property2(struct ftdi_context *ftdi, enum ftdi_bits_type bits,
536                                 enum ftdi_stopbits_type sbit, enum ftdi_parity_type parity,
537                                 enum ftdi_break_type break_type);
538
539     int ftdi_read_data(struct ftdi_context *ftdi, unsigned char *buf, int size);
540     int ftdi_read_data_set_chunksize(struct ftdi_context *ftdi, unsigned int chunksize);
541     int ftdi_read_data_get_chunksize(struct ftdi_context *ftdi, unsigned int *chunksize);
542
543     int ftdi_write_data(struct ftdi_context *ftdi, unsigned char *buf, int size);
544     int ftdi_write_data_set_chunksize(struct ftdi_context *ftdi, unsigned int chunksize);
545     int ftdi_write_data_get_chunksize(struct ftdi_context *ftdi, unsigned int *chunksize);
546
547     int ftdi_readstream(struct ftdi_context *ftdi, FTDIStreamCallback *callback, 
548                         void *userdata, int packetsPerTransfer, int numTransfers);
549     int ftdi_write_data_async(struct ftdi_context *ftdi, unsigned char *buf, int size);
550     void ftdi_async_complete(struct ftdi_context *ftdi, int wait_for_more);
551
552     struct ftdi_transfer_control *ftdi_read_data_submit(struct ftdi_context *ftdi, unsigned char *buf, int size);
553     int ftdi_transfer_data_done(struct ftdi_transfer_control *tc);
554
555     int DEPRECATED(ftdi_enable_bitbang(struct ftdi_context *ftdi, unsigned char bitmask));
556     int ftdi_disable_bitbang(struct ftdi_context *ftdi);
557     int ftdi_set_bitmode(struct ftdi_context *ftdi, unsigned char bitmask, unsigned char mode);
558     int ftdi_read_pins(struct ftdi_context *ftdi, unsigned char *pins);
559
560     int ftdi_set_latency_timer(struct ftdi_context *ftdi, unsigned char latency);
561     int ftdi_get_latency_timer(struct ftdi_context *ftdi, unsigned char *latency);
562
563     int ftdi_poll_modem_status(struct ftdi_context *ftdi, unsigned short *status);
564
565     /* flow control */
566     int ftdi_setflowctrl(struct ftdi_context *ftdi, int flowctrl);
567     int ftdi_setdtr_rts(struct ftdi_context *ftdi, int dtr, int rts);
568     int ftdi_setdtr(struct ftdi_context *ftdi, int state);
569     int ftdi_setrts(struct ftdi_context *ftdi, int state);
570
571     int ftdi_set_event_char(struct ftdi_context *ftdi, unsigned char eventch, unsigned char enable);
572     int ftdi_set_error_char(struct ftdi_context *ftdi, unsigned char errorch, unsigned char enable);
573
574     /* init eeprom for the given FTDI type */
575     int ftdi_eeprom_initdefaults(struct ftdi_context *ftdi, 
576                                   char * manufacturer, char *product, 
577                                   char * serial);
578     int ftdi_eeprom_build(struct ftdi_context *ftdi);
579     int ftdi_eeprom_decode(struct ftdi_context *ftdi, int verbose);
580
581     int ftdi_get_eeprom_value(struct ftdi_context *ftdi, enum ftdi_eeprom_value value_name, int* value);
582     int ftdi_set_eeprom_value(struct ftdi_context *ftdi, enum ftdi_eeprom_value value_name, int  value);
583
584     int ftdi_get_eeprom_buf(struct ftdi_context *ftdi, unsigned char * buf, int size);
585
586     int ftdi_read_eeprom(struct ftdi_context *ftdi);
587     int ftdi_read_chipid(struct ftdi_context *ftdi, unsigned int *chipid);
588     int ftdi_write_eeprom(struct ftdi_context *ftdi);
589     int ftdi_erase_eeprom(struct ftdi_context *ftdi);
590
591     int ftdi_read_eeprom_location (struct ftdi_context *ftdi, int eeprom_addr, unsigned short *eeprom_val);
592     int ftdi_write_eeprom_location(struct ftdi_context *ftdi, int eeprom_addr, unsigned short eeprom_val);
593
594     char *ftdi_get_error_string(struct ftdi_context *ftdi);
595
596 #ifdef __cplusplus
597 }
598 #endif
599
600 #endif /* __libftdi_h__ */