Implement tc[io]flush methods & deprecate broken purge_buffers methods.
[libftdi] / src / ftdi.h
1 /***************************************************************************
2                           ftdi.h  -  description
3                              -------------------
4     begin                : Fri Apr 4 2003
5     copyright            : (C) 2003-2017 by Intra2net AG and the libftdi developers
6     email                : opensource@intra2net.com
7  ***************************************************************************/
8
9 /***************************************************************************
10  *                                                                         *
11  *   This program is free software; you can redistribute it and/or modify  *
12  *   it under the terms of the GNU Lesser General Public License           *
13  *   version 2.1 as published by the Free Software Foundation;             *
14  *                                                                         *
15  ***************************************************************************/
16
17 #ifndef __libftdi_h__
18 #define __libftdi_h__
19
20 #include <stdint.h>
21 #ifndef _WIN32
22 #include <sys/time.h>
23 #endif
24
25 /* Define _FTDI_DISABLE_DEPRECATED to disable deprecated messages. */
26 #ifdef _FTDI_DISABLE_DEPRECATED
27 #define _Ftdi_Pragma(_msg)
28 #else
29 #define _Ftdi_Pragma(_msg) _Pragma(_msg)
30 #endif
31
32 /* 'interface' might be defined as a macro on Windows, so we need to
33  * undefine it so as not to break the current libftdi API, because
34  * struct ftdi_context has an 'interface' member
35  * As this can be problematic if you include windows.h after ftdi.h
36  * in your sources, we force windows.h to be included first. */
37 #if defined(_WIN32) || defined(__CYGWIN__) || defined(_WIN32_WCE)
38 #include <windows.h>
39 #if defined(interface)
40 #undef interface
41 #endif
42 #endif
43
44 /** FTDI chip type */
45 enum ftdi_chip_type
46 {
47     TYPE_AM=0,
48     TYPE_BM=1,
49     TYPE_2232C=2,
50     TYPE_R=3,
51     TYPE_2232H=4,
52     TYPE_4232H=5,
53     TYPE_232H=6,
54     TYPE_230X=7,
55 };
56 /** Parity mode for ftdi_set_line_property() */
57 enum ftdi_parity_type { NONE=0, ODD=1, EVEN=2, MARK=3, SPACE=4 };
58 /** Number of stop bits for ftdi_set_line_property() */
59 enum ftdi_stopbits_type { STOP_BIT_1=0, STOP_BIT_15=1, STOP_BIT_2=2 };
60 /** Number of bits for ftdi_set_line_property() */
61 enum ftdi_bits_type { BITS_7=7, BITS_8=8 };
62 /** Break type for ftdi_set_line_property2() */
63 enum ftdi_break_type { BREAK_OFF=0, BREAK_ON=1 };
64
65 /** MPSSE bitbang modes */
66 enum ftdi_mpsse_mode
67 {
68     BITMODE_RESET  = 0x00,    /**< switch off bitbang mode, back to regular serial/FIFO */
69     BITMODE_BITBANG= 0x01,    /**< classical asynchronous bitbang mode, introduced with B-type chips */
70     BITMODE_MPSSE  = 0x02,    /**< MPSSE mode, available on 2232x chips */
71     BITMODE_SYNCBB = 0x04,    /**< synchronous bitbang mode, available on 2232x and R-type chips  */
72     BITMODE_MCU    = 0x08,    /**< MCU Host Bus Emulation mode, available on 2232x chips */
73     /* CPU-style fifo mode gets set via EEPROM */
74     BITMODE_OPTO   = 0x10,    /**< Fast Opto-Isolated Serial Interface Mode, available on 2232x chips  */
75     BITMODE_CBUS   = 0x20,    /**< Bitbang on CBUS pins of R-type chips, configure in EEPROM before */
76     BITMODE_SYNCFF = 0x40,    /**< Single Channel Synchronous FIFO mode, available on 2232H chips */
77     BITMODE_FT1284 = 0x80,    /**< FT1284 mode, available on 232H chips */
78 };
79
80 /** Port interface for chips with multiple interfaces */
81 enum ftdi_interface
82 {
83     INTERFACE_ANY = 0,
84     INTERFACE_A   = 1,
85     INTERFACE_B   = 2,
86     INTERFACE_C   = 3,
87     INTERFACE_D   = 4
88 };
89
90 /** Automatic loading / unloading of kernel modules */
91 enum ftdi_module_detach_mode
92 {
93     AUTO_DETACH_SIO_MODULE = 0,
94     DONT_DETACH_SIO_MODULE = 1,
95     AUTO_DETACH_REATACH_SIO_MODULE = 2
96 };
97
98 /* Shifting commands IN MPSSE Mode*/
99 #define MPSSE_WRITE_NEG 0x01   /* Write TDI/DO on negative TCK/SK edge*/
100 #define MPSSE_BITMODE   0x02   /* Write bits, not bytes */
101 #define MPSSE_READ_NEG  0x04   /* Sample TDO/DI on negative TCK/SK edge */
102 #define MPSSE_LSB       0x08   /* LSB first */
103 #define MPSSE_DO_WRITE  0x10   /* Write TDI/DO */
104 #define MPSSE_DO_READ   0x20   /* Read TDO/DI */
105 #define MPSSE_WRITE_TMS 0x40   /* Write TMS/CS */
106
107 /* FTDI MPSSE commands */
108 #define SET_BITS_LOW   0x80
109 /*BYTE DATA*/
110 /*BYTE Direction*/
111 #define SET_BITS_HIGH  0x82
112 /*BYTE DATA*/
113 /*BYTE Direction*/
114 #define GET_BITS_LOW   0x81
115 #define GET_BITS_HIGH  0x83
116 #define LOOPBACK_START 0x84
117 #define LOOPBACK_END   0x85
118 #define TCK_DIVISOR    0x86
119 /* H Type specific commands */
120 #define DIS_DIV_5       0x8a
121 #define EN_DIV_5        0x8b
122 #define EN_3_PHASE      0x8c
123 #define DIS_3_PHASE     0x8d
124 #define CLK_BITS        0x8e
125 #define CLK_BYTES       0x8f
126 #define CLK_WAIT_HIGH   0x94
127 #define CLK_WAIT_LOW    0x95
128 #define EN_ADAPTIVE     0x96
129 #define DIS_ADAPTIVE    0x97
130 #define CLK_BYTES_OR_HIGH 0x9c
131 #define CLK_BYTES_OR_LOW  0x9d
132 /*FT232H specific commands */
133 #define DRIVE_OPEN_COLLECTOR 0x9e
134 /* Value Low */
135 /* Value HIGH */ /*rate is 12000000/((1+value)*2) */
136 #define DIV_VALUE(rate) (rate > 6000000)?0:((6000000/rate -1) > 0xffff)? 0xffff: (6000000/rate -1)
137
138 /* Commands in MPSSE and Host Emulation Mode */
139 #define SEND_IMMEDIATE 0x87
140 #define WAIT_ON_HIGH   0x88
141 #define WAIT_ON_LOW    0x89
142
143 /* Commands in Host Emulation Mode */
144 #define READ_SHORT     0x90
145 /* Address_Low */
146 #define READ_EXTENDED  0x91
147 /* Address High */
148 /* Address Low  */
149 #define WRITE_SHORT    0x92
150 /* Address_Low */
151 #define WRITE_EXTENDED 0x93
152 /* Address High */
153 /* Address Low  */
154
155 /* Definitions for flow control */
156 #define SIO_RESET          0 /* Reset the port */
157 #define SIO_MODEM_CTRL     1 /* Set the modem control register */
158 #define SIO_SET_FLOW_CTRL  2 /* Set flow control register */
159 #define SIO_SET_BAUD_RATE  3 /* Set baud rate */
160 #define SIO_SET_DATA       4 /* Set the data characteristics of the port */
161
162 #define FTDI_DEVICE_OUT_REQTYPE (LIBUSB_REQUEST_TYPE_VENDOR | LIBUSB_RECIPIENT_DEVICE | LIBUSB_ENDPOINT_OUT)
163 #define FTDI_DEVICE_IN_REQTYPE (LIBUSB_REQUEST_TYPE_VENDOR | LIBUSB_RECIPIENT_DEVICE | LIBUSB_ENDPOINT_IN)
164
165 /* Requests */
166 #define SIO_RESET_REQUEST             SIO_RESET
167 #define SIO_SET_BAUDRATE_REQUEST      SIO_SET_BAUD_RATE
168 #define SIO_SET_DATA_REQUEST          SIO_SET_DATA
169 #define SIO_SET_FLOW_CTRL_REQUEST     SIO_SET_FLOW_CTRL
170 #define SIO_SET_MODEM_CTRL_REQUEST    SIO_MODEM_CTRL
171 #define SIO_POLL_MODEM_STATUS_REQUEST 0x05
172 #define SIO_SET_EVENT_CHAR_REQUEST    0x06
173 #define SIO_SET_ERROR_CHAR_REQUEST    0x07
174 #define SIO_SET_LATENCY_TIMER_REQUEST 0x09
175 #define SIO_GET_LATENCY_TIMER_REQUEST 0x0A
176 #define SIO_SET_BITMODE_REQUEST       0x0B
177 #define SIO_READ_PINS_REQUEST         0x0C
178 #define SIO_READ_EEPROM_REQUEST       0x90
179 #define SIO_WRITE_EEPROM_REQUEST      0x91
180 #define SIO_ERASE_EEPROM_REQUEST      0x92
181
182
183 #define SIO_RESET_SIO 0
184
185 /* ** WARNING ** SIO_RESET_PURGE_RX or SIO_RESET_PURGE_TX are values used
186  * internally by libftdi to purge the RX and/or TX FIFOs (buffers).
187  * APPLICATION PROGRAMS SHOULD NOT BE USING THESE VALUES. Application
188  * programs should use one of the ftdi_tciflush, ftdi_tcoflush, or
189  * ftdi_tcioflush functions which emulate the Linux serial port tcflush(3)
190  * function.
191  *
192  * History:
193  *
194  * The definitions for these values are with respect to the FTDI chip, not the
195  * CPU. That is, when the FTDI chip receives a USB control transfer request
196  * with the command SIO_RESET_PURGE_RX, the FTDI chip empties the FIFO
197  * containing data received from the CPU awaiting transfer out the serial
198  * port to the connected serial device (e.g., a modem). Likewise, upon
199  * reception of the SIO_RESET_PURGE_TX command, the FTDI chip empties the
200  * FIFO of data received from the attached serial device destined to be
201  * transmitted to the CPU.
202  *
203  * Unfortunately the coding of the previous releases of libfti assumed these
204  * commands had the opposite effect. This resulted in the function
205  * ftdi_usb_purge_tx_buffer clearing data received from the attached serial
206  * device.  Similarly, the function ftdi_usb_purge_rx_buffer cleared the
207  * FTDI FIFO containing data to be transmitted to the attached serial
208  * device.  More seriously, this latter function clear the libftid's
209  * internal buffer of data received from the serial device, destined
210  * to the application program.
211  */
212 #ifdef __GNUC__
213 #define SIO_RESET_PURGE_RX _Ftdi_Pragma("GCC warning \"SIO_RESET_PURGE_RX\" deprecated: - use tciflush() method") 1
214 #define SIO_RESET_PURGE_TX _Ftdi_Pragma("GCC warning \"SIO_RESET_PURGE_RX\" deprecated: - use tcoflush() method") 2
215 #else
216 #pragma message("WARNING: You need to implement deprecated #define for this compiler")
217 #define SIO_RESET_PURGE_RX 1
218 #define SIO_RESET_PURGE_TX 2
219 #endif
220 /* New names for the values used internally to flush (purge). */
221 #define SIO_TCIFLUSH 2
222 #define SIO_TCOFLUSH 1
223
224 #define SIO_DISABLE_FLOW_CTRL 0x0
225 #define SIO_RTS_CTS_HS (0x1 << 8)
226 #define SIO_DTR_DSR_HS (0x2 << 8)
227 #define SIO_XON_XOFF_HS (0x4 << 8)
228
229 #define SIO_SET_DTR_MASK 0x1
230 #define SIO_SET_DTR_HIGH ( 1 | ( SIO_SET_DTR_MASK  << 8))
231 #define SIO_SET_DTR_LOW  ( 0 | ( SIO_SET_DTR_MASK  << 8))
232 #define SIO_SET_RTS_MASK 0x2
233 #define SIO_SET_RTS_HIGH ( 2 | ( SIO_SET_RTS_MASK << 8 ))
234 #define SIO_SET_RTS_LOW ( 0 | ( SIO_SET_RTS_MASK << 8 ))
235
236 #define SIO_RTS_CTS_HS (0x1 << 8)
237
238 /* marker for unused usb urb structures
239    (taken from libusb) */
240 #define FTDI_URB_USERCONTEXT_COOKIE ((void *)0x1)
241
242 #ifdef _FTDI_DISABLE_DEPRECATED
243 #define DEPRECATED(func) func
244 #else
245 #ifdef __GNUC__
246 #define DEPRECATED(func) __attribute__ ((deprecated)) func
247 #elif defined(_MSC_VER)
248 #define DEPRECATED(func) __declspec(deprecated) func
249 #else
250 #pragma message("WARNING: You need to implement DEPRECATED for this compiler")
251 #define DEPRECATED(func) func
252 #endif
253 #endif
254
255 struct ftdi_transfer_control
256 {
257     int completed;
258     unsigned char *buf;
259     int size;
260     int offset;
261     struct ftdi_context *ftdi;
262     struct libusb_transfer *transfer;
263 };
264
265 /**
266     \brief Main context structure for all libftdi functions.
267
268     Do not access directly if possible.
269 */
270 struct ftdi_context
271 {
272     /* USB specific */
273     /** libusb's context */
274     struct libusb_context *usb_ctx;
275     /** libusb's usb_dev_handle */
276     struct libusb_device_handle *usb_dev;
277     /** usb read timeout */
278     int usb_read_timeout;
279     /** usb write timeout */
280     int usb_write_timeout;
281
282     /* FTDI specific */
283     /** FTDI chip type */
284     enum ftdi_chip_type type;
285     /** baudrate */
286     int baudrate;
287     /** bitbang mode state */
288     unsigned char bitbang_enabled;
289     /** pointer to read buffer for ftdi_read_data */
290     unsigned char *readbuffer;
291     /** read buffer offset */
292     unsigned int readbuffer_offset;
293     /** number of remaining data in internal read buffer */
294     unsigned int readbuffer_remaining;
295     /** read buffer chunk size */
296     unsigned int readbuffer_chunksize;
297     /** write buffer chunk size */
298     unsigned int writebuffer_chunksize;
299     /** maximum packet size. Needed for filtering modem status bytes every n packets. */
300     unsigned int max_packet_size;
301
302     /* FTDI FT2232C requirecments */
303     /** FT2232C interface number: 0 or 1 */
304     int interface;   /* 0 or 1 */
305     /** FT2232C index number: 1 or 2 */
306     int index;       /* 1 or 2 */
307     /* Endpoints */
308     /** FT2232C end points: 1 or 2 */
309     int in_ep;
310     int out_ep;      /* 1 or 2 */
311
312     /** Bitbang mode. 1: (default) Normal bitbang mode, 2: FT2232C SPI bitbang mode */
313     unsigned char bitbang_mode;
314
315     /** Decoded eeprom structure */
316     struct ftdi_eeprom *eeprom;
317
318     /** String representation of last error */
319     const char *error_str;
320
321     /** Defines behavior in case a kernel module is already attached to the device */
322     enum ftdi_module_detach_mode module_detach_mode;
323 };
324
325 /**
326  List all handled EEPROM values.
327    Append future new values only at the end to provide API/ABI stability*/
328 enum ftdi_eeprom_value
329 {
330     VENDOR_ID          = 0,
331     PRODUCT_ID         = 1,
332     SELF_POWERED       = 2,
333     REMOTE_WAKEUP      = 3,
334     IS_NOT_PNP         = 4,
335     SUSPEND_DBUS7      = 5,
336     IN_IS_ISOCHRONOUS  = 6,
337     OUT_IS_ISOCHRONOUS = 7,
338     SUSPEND_PULL_DOWNS = 8,
339     USE_SERIAL         = 9,
340     USB_VERSION        = 10,
341     USE_USB_VERSION    = 11,
342     MAX_POWER          = 12,
343     CHANNEL_A_TYPE     = 13,
344     CHANNEL_B_TYPE     = 14,
345     CHANNEL_A_DRIVER   = 15,
346     CHANNEL_B_DRIVER   = 16,
347     CBUS_FUNCTION_0    = 17,
348     CBUS_FUNCTION_1    = 18,
349     CBUS_FUNCTION_2    = 19,
350     CBUS_FUNCTION_3    = 20,
351     CBUS_FUNCTION_4    = 21,
352     CBUS_FUNCTION_5    = 22,
353     CBUS_FUNCTION_6    = 23,
354     CBUS_FUNCTION_7    = 24,
355     CBUS_FUNCTION_8    = 25,
356     CBUS_FUNCTION_9    = 26,
357     HIGH_CURRENT       = 27,
358     HIGH_CURRENT_A     = 28,
359     HIGH_CURRENT_B     = 29,
360     INVERT             = 30,
361     GROUP0_DRIVE       = 31,
362     GROUP0_SCHMITT     = 32,
363     GROUP0_SLEW        = 33,
364     GROUP1_DRIVE       = 34,
365     GROUP1_SCHMITT     = 35,
366     GROUP1_SLEW        = 36,
367     GROUP2_DRIVE       = 37,
368     GROUP2_SCHMITT     = 38,
369     GROUP2_SLEW        = 39,
370     GROUP3_DRIVE       = 40,
371     GROUP3_SCHMITT     = 41,
372     GROUP3_SLEW        = 42,
373     CHIP_SIZE          = 43,
374     CHIP_TYPE          = 44,
375     POWER_SAVE         = 45,
376     CLOCK_POLARITY     = 46,
377     DATA_ORDER         = 47,
378     FLOW_CONTROL       = 48,
379     CHANNEL_C_DRIVER   = 49,
380     CHANNEL_D_DRIVER   = 50,
381     CHANNEL_A_RS485    = 51,
382     CHANNEL_B_RS485    = 52,
383     CHANNEL_C_RS485    = 53,
384     CHANNEL_D_RS485    = 54,
385     RELEASE_NUMBER     = 55,
386     EXTERNAL_OSCILLATOR= 56,
387     USER_DATA_ADDR     = 57,
388 };
389
390 /**
391     \brief list of usb devices created by ftdi_usb_find_all()
392 */
393 struct ftdi_device_list
394 {
395     /** pointer to next entry */
396     struct ftdi_device_list *next;
397     /** pointer to libusb's usb_device */
398     struct libusb_device *dev;
399 };
400 #define FT1284_CLK_IDLE_STATE 0x01
401 #define FT1284_DATA_LSB       0x02 /* DS_FT232H 1.3 amd ftd2xx.h 1.0.4 disagree here*/
402 #define FT1284_FLOW_CONTROL   0x04
403 #define POWER_SAVE_DISABLE_H 0x80
404
405 #define USE_SERIAL_NUM 0x08
406 enum ftdi_cbus_func
407 {
408     CBUS_TXDEN = 0, CBUS_PWREN = 1, CBUS_RXLED = 2, CBUS_TXLED = 3, CBUS_TXRXLED = 4,
409     CBUS_SLEEP = 5, CBUS_CLK48 = 6, CBUS_CLK24 = 7, CBUS_CLK12 = 8, CBUS_CLK6 =  9,
410     CBUS_IOMODE = 0xa, CBUS_BB_WR = 0xb, CBUS_BB_RD = 0xc
411 };
412
413 enum ftdi_cbush_func
414 {
415     CBUSH_TRISTATE = 0, CBUSH_TXLED = 1, CBUSH_RXLED = 2, CBUSH_TXRXLED = 3, CBUSH_PWREN = 4,
416     CBUSH_SLEEP = 5, CBUSH_DRIVE_0 = 6, CBUSH_DRIVE1 = 7, CBUSH_IOMODE = 8, CBUSH_TXDEN =  9,
417     CBUSH_CLK30 = 10, CBUSH_CLK15 = 11, CBUSH_CLK7_5 = 12
418 };
419
420 enum ftdi_cbusx_func
421 {
422     CBUSX_TRISTATE = 0, CBUSX_TXLED = 1, CBUSX_RXLED = 2, CBUSX_TXRXLED = 3, CBUSX_PWREN = 4,
423     CBUSX_SLEEP = 5, CBUSX_DRIVE_0 = 6, CBUSX_DRIVE1 = 7, CBUSX_IOMODE = 8, CBUSX_TXDEN =  9,
424     CBUSX_CLK24 = 10, CBUSX_CLK12 = 11, CBUSX_CLK6 = 12, CBUSX_BAT_DETECT = 13,
425     CBUSX_BAT_DETECT_NEG = 14, CBUSX_I2C_TXE = 15, CBUSX_I2C_RXF = 16, CBUSX_VBUS_SENSE = 17,
426     CBUSX_BB_WR = 18, CBUSX_BB_RD = 19, CBUSX_TIME_STAMP = 20, CBUSX_AWAKE = 21
427 };
428
429 /** Invert TXD# */
430 #define INVERT_TXD 0x01
431 /** Invert RXD# */
432 #define INVERT_RXD 0x02
433 /** Invert RTS# */
434 #define INVERT_RTS 0x04
435 /** Invert CTS# */
436 #define INVERT_CTS 0x08
437 /** Invert DTR# */
438 #define INVERT_DTR 0x10
439 /** Invert DSR# */
440 #define INVERT_DSR 0x20
441 /** Invert DCD# */
442 #define INVERT_DCD 0x40
443 /** Invert RI# */
444 #define INVERT_RI  0x80
445
446 /** Interface Mode. */
447 #define CHANNEL_IS_UART 0x0
448 #define CHANNEL_IS_FIFO 0x1
449 #define CHANNEL_IS_OPTO 0x2
450 #define CHANNEL_IS_CPU  0x4
451 #define CHANNEL_IS_FT1284 0x8
452
453 #define CHANNEL_IS_RS485 0x10
454
455 #define DRIVE_4MA  0
456 #define DRIVE_8MA  1
457 #define DRIVE_12MA 2
458 #define DRIVE_16MA 3
459 #define SLOW_SLEW  4
460 #define IS_SCHMITT 8
461
462 /** Driver Type. */
463 #define DRIVER_VCP 0x08
464 #define DRIVER_VCPH 0x10 /* FT232H has moved the VCP bit */
465
466 #define USE_USB_VERSION_BIT 0x10
467
468 #define SUSPEND_DBUS7_BIT 0x80
469
470 /** High current drive. */
471 #define HIGH_CURRENT_DRIVE   0x10
472 #define HIGH_CURRENT_DRIVE_R 0x04
473
474 /**
475     \brief Progress Info for streaming read
476 */
477 struct size_and_time
478 {
479     uint64_t totalBytes;
480     struct timeval time;
481 };
482
483 typedef struct
484 {
485     struct size_and_time first;
486     struct size_and_time prev;
487     struct size_and_time current;
488     double totalTime;
489     double totalRate;
490     double currentRate;
491 } FTDIProgressInfo;
492
493 typedef int (FTDIStreamCallback)(uint8_t *buffer, int length,
494                                  FTDIProgressInfo *progress, void *userdata);
495
496 /**
497  * Provide libftdi version information
498  * major: Library major version
499  * minor: Library minor version
500  * micro: Currently unused, ight get used for hotfixes.
501  * version_str: Version as (static) string
502  * snapshot_str: Git snapshot version if known. Otherwise "unknown" or empty string.
503 */
504 struct ftdi_version_info
505 {
506     int major;
507     int minor;
508     int micro;
509     const char *version_str;
510     const char *snapshot_str;
511 };
512
513
514 #ifdef __cplusplus
515 extern "C"
516 {
517 #endif
518
519     int ftdi_init(struct ftdi_context *ftdi);
520     struct ftdi_context *ftdi_new(void);
521     int ftdi_set_interface(struct ftdi_context *ftdi, enum ftdi_interface interface);
522
523     void ftdi_deinit(struct ftdi_context *ftdi);
524     void ftdi_free(struct ftdi_context *ftdi);
525     void ftdi_set_usbdev (struct ftdi_context *ftdi, struct libusb_device_handle *usbdev);
526
527     struct ftdi_version_info ftdi_get_library_version(void);
528
529     int ftdi_usb_find_all(struct ftdi_context *ftdi, struct ftdi_device_list **devlist,
530                           int vendor, int product);
531     void ftdi_list_free(struct ftdi_device_list **devlist);
532     void ftdi_list_free2(struct ftdi_device_list *devlist);
533     int ftdi_usb_get_strings(struct ftdi_context *ftdi, struct libusb_device *dev,
534                              char *manufacturer, int mnf_len,
535                              char *description, int desc_len,
536                              char *serial, int serial_len);
537     int ftdi_usb_get_strings2(struct ftdi_context *ftdi, struct libusb_device *dev,
538                               char *manufacturer, int mnf_len,
539                               char *description, int desc_len,
540                               char *serial, int serial_len);
541
542     int ftdi_eeprom_get_strings(struct ftdi_context *ftdi,
543                                 char *manufacturer, int mnf_len,
544                                 char *product, int prod_len,
545                                 char *serial, int serial_len);
546     int ftdi_eeprom_set_strings(struct ftdi_context *ftdi, char * manufacturer,
547                                 char * product, char * serial);
548
549     int ftdi_usb_open(struct ftdi_context *ftdi, int vendor, int product);
550     int ftdi_usb_open_desc(struct ftdi_context *ftdi, int vendor, int product,
551                            const char* description, const char* serial);
552     int ftdi_usb_open_desc_index(struct ftdi_context *ftdi, int vendor, int product,
553                                  const char* description, const char* serial, unsigned int index);
554     int ftdi_usb_open_bus_addr(struct ftdi_context *ftdi, uint8_t bus, uint8_t addr);
555     int ftdi_usb_open_dev(struct ftdi_context *ftdi, struct libusb_device *dev);
556     int ftdi_usb_open_string(struct ftdi_context *ftdi, const char* description);
557
558     int ftdi_usb_close(struct ftdi_context *ftdi);
559     int ftdi_usb_reset(struct ftdi_context *ftdi);
560     int ftdi_tciflush(struct ftdi_context *ftdi);
561     int ftdi_tcoflush(struct ftdi_context *ftdi);
562     int ftdi_tcioflush(struct ftdi_context *ftdi);
563     int DEPRECATED(ftdi_usb_purge_rx_buffer(struct ftdi_context *ftdi));
564     int DEPRECATED(ftdi_usb_purge_tx_buffer(struct ftdi_context *ftdi));
565     int DEPRECATED(ftdi_usb_purge_buffers(struct ftdi_context *ftdi));
566
567     int ftdi_set_baudrate(struct ftdi_context *ftdi, int baudrate);
568     int ftdi_set_line_property(struct ftdi_context *ftdi, enum ftdi_bits_type bits,
569                                enum ftdi_stopbits_type sbit, enum ftdi_parity_type parity);
570     int ftdi_set_line_property2(struct ftdi_context *ftdi, enum ftdi_bits_type bits,
571                                 enum ftdi_stopbits_type sbit, enum ftdi_parity_type parity,
572                                 enum ftdi_break_type break_type);
573
574     int ftdi_read_data(struct ftdi_context *ftdi, unsigned char *buf, int size);
575     int ftdi_read_data_set_chunksize(struct ftdi_context *ftdi, unsigned int chunksize);
576     int ftdi_read_data_get_chunksize(struct ftdi_context *ftdi, unsigned int *chunksize);
577
578     int ftdi_write_data(struct ftdi_context *ftdi, const unsigned char *buf, int size);
579     int ftdi_write_data_set_chunksize(struct ftdi_context *ftdi, unsigned int chunksize);
580     int ftdi_write_data_get_chunksize(struct ftdi_context *ftdi, unsigned int *chunksize);
581
582     int ftdi_readstream(struct ftdi_context *ftdi, FTDIStreamCallback *callback,
583                         void *userdata, int packetsPerTransfer, int numTransfers);
584     struct ftdi_transfer_control *ftdi_write_data_submit(struct ftdi_context *ftdi, unsigned char *buf, int size);
585
586     struct ftdi_transfer_control *ftdi_read_data_submit(struct ftdi_context *ftdi, unsigned char *buf, int size);
587     int ftdi_transfer_data_done(struct ftdi_transfer_control *tc);
588     void ftdi_transfer_data_cancel(struct ftdi_transfer_control *tc, struct timeval * to);
589
590     int ftdi_set_bitmode(struct ftdi_context *ftdi, unsigned char bitmask, unsigned char mode);
591     int ftdi_disable_bitbang(struct ftdi_context *ftdi);
592     int ftdi_read_pins(struct ftdi_context *ftdi, unsigned char *pins);
593
594     int ftdi_set_latency_timer(struct ftdi_context *ftdi, unsigned char latency);
595     int ftdi_get_latency_timer(struct ftdi_context *ftdi, unsigned char *latency);
596
597     int ftdi_poll_modem_status(struct ftdi_context *ftdi, unsigned short *status);
598
599     /* flow control */
600     int ftdi_setflowctrl(struct ftdi_context *ftdi, int flowctrl);
601     int ftdi_setflowctrl_xonxoff(struct ftdi_context *ftdi, unsigned char xon, unsigned char xoff);
602     int ftdi_setdtr_rts(struct ftdi_context *ftdi, int dtr, int rts);
603     int ftdi_setdtr(struct ftdi_context *ftdi, int state);
604     int ftdi_setrts(struct ftdi_context *ftdi, int state);
605
606     int ftdi_set_event_char(struct ftdi_context *ftdi, unsigned char eventch, unsigned char enable);
607     int ftdi_set_error_char(struct ftdi_context *ftdi, unsigned char errorch, unsigned char enable);
608
609     /* init eeprom for the given FTDI type */
610     int ftdi_eeprom_initdefaults(struct ftdi_context *ftdi,
611                                  char * manufacturer, char *product,
612                                  char * serial);
613     int ftdi_eeprom_build(struct ftdi_context *ftdi);
614     int ftdi_eeprom_decode(struct ftdi_context *ftdi, int verbose);
615
616     int ftdi_get_eeprom_value(struct ftdi_context *ftdi, enum ftdi_eeprom_value value_name, int* value);
617     int ftdi_set_eeprom_value(struct ftdi_context *ftdi, enum ftdi_eeprom_value value_name, int  value);
618
619     int ftdi_get_eeprom_buf(struct ftdi_context *ftdi, unsigned char * buf, int size);
620     int ftdi_set_eeprom_buf(struct ftdi_context *ftdi, const unsigned char * buf, int size);
621
622     int ftdi_set_eeprom_user_data(struct ftdi_context *ftdi, const char * buf, int size);
623
624     int ftdi_read_eeprom(struct ftdi_context *ftdi);
625     int ftdi_read_chipid(struct ftdi_context *ftdi, unsigned int *chipid);
626     int ftdi_write_eeprom(struct ftdi_context *ftdi);
627     int ftdi_erase_eeprom(struct ftdi_context *ftdi);
628
629     int ftdi_read_eeprom_location (struct ftdi_context *ftdi, int eeprom_addr, unsigned short *eeprom_val);
630     int ftdi_write_eeprom_location(struct ftdi_context *ftdi, int eeprom_addr, unsigned short eeprom_val);
631
632     const char *ftdi_get_error_string(struct ftdi_context *ftdi);
633
634 #ifdef __cplusplus
635 }
636 #endif
637
638 #endif /* __libftdi_h__ */