Add SPDX identifiers to the core library and ftdi_eeprom tool
[libftdi] / src / ftdi.h
... / ...
CommitLineData
1/***************************************************************************
2 ftdi.h - description
3 -------------------
4 begin : Fri Apr 4 2003
5 copyright : (C) 2003-2017 by Intra2net AG and the libftdi developers
6 email : opensource@intra2net.com
7 SPDX-License-Identifier: LGPL-2.1-only
8 ***************************************************************************/
9
10/***************************************************************************
11 * *
12 * This program is free software; you can redistribute it and/or modify *
13 * it under the terms of the GNU Lesser General Public License *
14 * version 2.1 as published by the Free Software Foundation; *
15 * *
16 ***************************************************************************/
17
18#ifndef __libftdi_h__
19#define __libftdi_h__
20
21#include <stdint.h>
22#ifndef _WIN32
23#include <sys/time.h>
24#endif
25
26/* Define _FTDI_DISABLE_DEPRECATED to disable deprecated messages. */
27#ifdef _FTDI_DISABLE_DEPRECATED
28#define _Ftdi_Pragma(_msg)
29#else
30#define _Ftdi_Pragma(_msg) _Pragma(_msg)
31#endif
32
33/* 'interface' might be defined as a macro on Windows, so we need to
34 * undefine it so as not to break the current libftdi API, because
35 * struct ftdi_context has an 'interface' member
36 * As this can be problematic if you include windows.h after ftdi.h
37 * in your sources, we force windows.h to be included first. */
38#if defined(_WIN32) || defined(__CYGWIN__) || defined(_WIN32_WCE)
39#include <windows.h>
40#if defined(interface)
41#undef interface
42#endif
43#endif
44
45/** FTDI chip type */
46enum ftdi_chip_type
47{
48 TYPE_AM=0,
49 TYPE_BM=1,
50 TYPE_2232C=2,
51 TYPE_R=3,
52 TYPE_2232H=4,
53 TYPE_4232H=5,
54 TYPE_232H=6,
55 TYPE_230X=7,
56};
57/** Parity mode for ftdi_set_line_property() */
58enum ftdi_parity_type { NONE=0, ODD=1, EVEN=2, MARK=3, SPACE=4 };
59/** Number of stop bits for ftdi_set_line_property() */
60enum ftdi_stopbits_type { STOP_BIT_1=0, STOP_BIT_15=1, STOP_BIT_2=2 };
61/** Number of bits for ftdi_set_line_property() */
62enum ftdi_bits_type { BITS_7=7, BITS_8=8 };
63/** Break type for ftdi_set_line_property2() */
64enum ftdi_break_type { BREAK_OFF=0, BREAK_ON=1 };
65
66/** MPSSE bitbang modes */
67enum ftdi_mpsse_mode
68{
69 BITMODE_RESET = 0x00, /**< switch off bitbang mode, back to regular serial/FIFO */
70 BITMODE_BITBANG= 0x01, /**< classical asynchronous bitbang mode, introduced with B-type chips */
71 BITMODE_MPSSE = 0x02, /**< MPSSE mode, available on 2232x chips */
72 BITMODE_SYNCBB = 0x04, /**< synchronous bitbang mode, available on 2232x and R-type chips */
73 BITMODE_MCU = 0x08, /**< MCU Host Bus Emulation mode, available on 2232x chips */
74 /* CPU-style fifo mode gets set via EEPROM */
75 BITMODE_OPTO = 0x10, /**< Fast Opto-Isolated Serial Interface Mode, available on 2232x chips */
76 BITMODE_CBUS = 0x20, /**< Bitbang on CBUS pins of R-type chips, configure in EEPROM before */
77 BITMODE_SYNCFF = 0x40, /**< Single Channel Synchronous FIFO mode, available on 2232H chips */
78 BITMODE_FT1284 = 0x80, /**< FT1284 mode, available on 232H chips */
79};
80
81/** Port interface for chips with multiple interfaces */
82enum ftdi_interface
83{
84 INTERFACE_ANY = 0,
85 INTERFACE_A = 1,
86 INTERFACE_B = 2,
87 INTERFACE_C = 3,
88 INTERFACE_D = 4
89};
90
91/** Automatic loading / unloading of kernel modules */
92enum ftdi_module_detach_mode
93{
94 AUTO_DETACH_SIO_MODULE = 0,
95 DONT_DETACH_SIO_MODULE = 1,
96 AUTO_DETACH_REATACH_SIO_MODULE = 2
97};
98
99/* Shifting commands IN MPSSE Mode*/
100#define MPSSE_WRITE_NEG 0x01 /* Write TDI/DO on negative TCK/SK edge*/
101#define MPSSE_BITMODE 0x02 /* Write bits, not bytes */
102#define MPSSE_READ_NEG 0x04 /* Sample TDO/DI on negative TCK/SK edge */
103#define MPSSE_LSB 0x08 /* LSB first */
104#define MPSSE_DO_WRITE 0x10 /* Write TDI/DO */
105#define MPSSE_DO_READ 0x20 /* Read TDO/DI */
106#define MPSSE_WRITE_TMS 0x40 /* Write TMS/CS */
107
108/* FTDI MPSSE commands */
109#define SET_BITS_LOW 0x80
110/*BYTE DATA*/
111/*BYTE Direction*/
112#define SET_BITS_HIGH 0x82
113/*BYTE DATA*/
114/*BYTE Direction*/
115#define GET_BITS_LOW 0x81
116#define GET_BITS_HIGH 0x83
117#define LOOPBACK_START 0x84
118#define LOOPBACK_END 0x85
119#define TCK_DIVISOR 0x86
120/* H Type specific commands */
121#define DIS_DIV_5 0x8a
122#define EN_DIV_5 0x8b
123#define EN_3_PHASE 0x8c
124#define DIS_3_PHASE 0x8d
125#define CLK_BITS 0x8e
126#define CLK_BYTES 0x8f
127#define CLK_WAIT_HIGH 0x94
128#define CLK_WAIT_LOW 0x95
129#define EN_ADAPTIVE 0x96
130#define DIS_ADAPTIVE 0x97
131#define CLK_BYTES_OR_HIGH 0x9c
132#define CLK_BYTES_OR_LOW 0x9d
133/*FT232H specific commands */
134#define DRIVE_OPEN_COLLECTOR 0x9e
135/* Value Low */
136/* Value HIGH */ /*rate is 12000000/((1+value)*2) */
137#define DIV_VALUE(rate) (rate > 6000000)?0:((6000000/rate -1) > 0xffff)? 0xffff: (6000000/rate -1)
138
139/* Commands in MPSSE and Host Emulation Mode */
140#define SEND_IMMEDIATE 0x87
141#define WAIT_ON_HIGH 0x88
142#define WAIT_ON_LOW 0x89
143
144/* Commands in Host Emulation Mode */
145#define READ_SHORT 0x90
146/* Address_Low */
147#define READ_EXTENDED 0x91
148/* Address High */
149/* Address Low */
150#define WRITE_SHORT 0x92
151/* Address_Low */
152#define WRITE_EXTENDED 0x93
153/* Address High */
154/* Address Low */
155
156/* Definitions for flow control */
157#define SIO_RESET 0 /* Reset the port */
158#define SIO_MODEM_CTRL 1 /* Set the modem control register */
159#define SIO_SET_FLOW_CTRL 2 /* Set flow control register */
160#define SIO_SET_BAUD_RATE 3 /* Set baud rate */
161#define SIO_SET_DATA 4 /* Set the data characteristics of the port */
162
163#define FTDI_DEVICE_OUT_REQTYPE (LIBUSB_REQUEST_TYPE_VENDOR | LIBUSB_RECIPIENT_DEVICE | LIBUSB_ENDPOINT_OUT)
164#define FTDI_DEVICE_IN_REQTYPE (LIBUSB_REQUEST_TYPE_VENDOR | LIBUSB_RECIPIENT_DEVICE | LIBUSB_ENDPOINT_IN)
165
166/* Requests */
167#define SIO_RESET_REQUEST SIO_RESET
168#define SIO_SET_BAUDRATE_REQUEST SIO_SET_BAUD_RATE
169#define SIO_SET_DATA_REQUEST SIO_SET_DATA
170#define SIO_SET_FLOW_CTRL_REQUEST SIO_SET_FLOW_CTRL
171#define SIO_SET_MODEM_CTRL_REQUEST SIO_MODEM_CTRL
172#define SIO_POLL_MODEM_STATUS_REQUEST 0x05
173#define SIO_SET_EVENT_CHAR_REQUEST 0x06
174#define SIO_SET_ERROR_CHAR_REQUEST 0x07
175#define SIO_SET_LATENCY_TIMER_REQUEST 0x09
176#define SIO_GET_LATENCY_TIMER_REQUEST 0x0A
177#define SIO_SET_BITMODE_REQUEST 0x0B
178#define SIO_READ_PINS_REQUEST 0x0C
179#define SIO_READ_EEPROM_REQUEST 0x90
180#define SIO_WRITE_EEPROM_REQUEST 0x91
181#define SIO_ERASE_EEPROM_REQUEST 0x92
182
183
184#define SIO_RESET_SIO 0
185
186/* ** WARNING ** SIO_RESET_PURGE_RX or SIO_RESET_PURGE_TX are values used
187 * internally by libftdi to purge the RX and/or TX FIFOs (buffers).
188 * APPLICATION PROGRAMS SHOULD NOT BE USING THESE VALUES. Application
189 * programs should use one of the ftdi_tciflush, ftdi_tcoflush, or
190 * ftdi_tcioflush functions which emulate the Linux serial port tcflush(3)
191 * function.
192 *
193 * History:
194 *
195 * The definitions for these values are with respect to the FTDI chip, not the
196 * CPU. That is, when the FTDI chip receives a USB control transfer request
197 * with the command SIO_RESET_PURGE_RX, the FTDI chip empties the FIFO
198 * containing data received from the CPU awaiting transfer out the serial
199 * port to the connected serial device (e.g., a modem). Likewise, upon
200 * reception of the SIO_RESET_PURGE_TX command, the FTDI chip empties the
201 * FIFO of data received from the attached serial device destined to be
202 * transmitted to the CPU.
203 *
204 * Unfortunately the coding of the previous releases of libfti assumed these
205 * commands had the opposite effect. This resulted in the function
206 * ftdi_usb_purge_tx_buffer clearing data received from the attached serial
207 * device. Similarly, the function ftdi_usb_purge_rx_buffer cleared the
208 * FTDI FIFO containing data to be transmitted to the attached serial
209 * device. More seriously, this latter function clear the libftid's
210 * internal buffer of data received from the serial device, destined
211 * to the application program.
212 */
213#ifdef __GNUC__
214#define SIO_RESET_PURGE_RX _Ftdi_Pragma("GCC warning \"SIO_RESET_PURGE_RX\" deprecated: - use tciflush() method") 1
215#define SIO_RESET_PURGE_TX _Ftdi_Pragma("GCC warning \"SIO_RESET_PURGE_RX\" deprecated: - use tcoflush() method") 2
216#else
217#pragma message("WARNING: You need to implement deprecated #define for this compiler")
218#define SIO_RESET_PURGE_RX 1
219#define SIO_RESET_PURGE_TX 2
220#endif
221/* New names for the values used internally to flush (purge). */
222#define SIO_TCIFLUSH 2
223#define SIO_TCOFLUSH 1
224
225#define SIO_DISABLE_FLOW_CTRL 0x0
226#define SIO_RTS_CTS_HS (0x1 << 8)
227#define SIO_DTR_DSR_HS (0x2 << 8)
228#define SIO_XON_XOFF_HS (0x4 << 8)
229
230#define SIO_SET_DTR_MASK 0x1
231#define SIO_SET_DTR_HIGH ( 1 | ( SIO_SET_DTR_MASK << 8))
232#define SIO_SET_DTR_LOW ( 0 | ( SIO_SET_DTR_MASK << 8))
233#define SIO_SET_RTS_MASK 0x2
234#define SIO_SET_RTS_HIGH ( 2 | ( SIO_SET_RTS_MASK << 8 ))
235#define SIO_SET_RTS_LOW ( 0 | ( SIO_SET_RTS_MASK << 8 ))
236
237#define SIO_RTS_CTS_HS (0x1 << 8)
238
239/* marker for unused usb urb structures
240 (taken from libusb) */
241#define FTDI_URB_USERCONTEXT_COOKIE ((void *)0x1)
242
243#ifdef _FTDI_DISABLE_DEPRECATED
244#define DEPRECATED(func) func
245#else
246#ifdef __GNUC__
247#define DEPRECATED(func) __attribute__ ((deprecated)) func
248#elif defined(_MSC_VER)
249#define DEPRECATED(func) __declspec(deprecated) func
250#else
251#pragma message("WARNING: You need to implement DEPRECATED for this compiler")
252#define DEPRECATED(func) func
253#endif
254#endif
255
256struct ftdi_transfer_control
257{
258 int completed;
259 unsigned char *buf;
260 int size;
261 int offset;
262 struct ftdi_context *ftdi;
263 struct libusb_transfer *transfer;
264};
265
266/**
267 \brief Main context structure for all libftdi functions.
268
269 Do not access directly if possible.
270*/
271struct ftdi_context
272{
273 /* USB specific */
274 /** libusb's context */
275 struct libusb_context *usb_ctx;
276 /** libusb's usb_dev_handle */
277 struct libusb_device_handle *usb_dev;
278 /** usb read timeout */
279 int usb_read_timeout;
280 /** usb write timeout */
281 int usb_write_timeout;
282
283 /* FTDI specific */
284 /** FTDI chip type */
285 enum ftdi_chip_type type;
286 /** baudrate */
287 int baudrate;
288 /** bitbang mode state */
289 unsigned char bitbang_enabled;
290 /** pointer to read buffer for ftdi_read_data */
291 unsigned char *readbuffer;
292 /** read buffer offset */
293 unsigned int readbuffer_offset;
294 /** number of remaining data in internal read buffer */
295 unsigned int readbuffer_remaining;
296 /** read buffer chunk size */
297 unsigned int readbuffer_chunksize;
298 /** write buffer chunk size */
299 unsigned int writebuffer_chunksize;
300 /** maximum packet size. Needed for filtering modem status bytes every n packets. */
301 unsigned int max_packet_size;
302
303 /* FTDI FT2232C requirecments */
304 /** FT2232C interface number: 0 or 1 */
305 int interface; /* 0 or 1 */
306 /** FT2232C index number: 1 or 2 */
307 int index; /* 1 or 2 */
308 /* Endpoints */
309 /** FT2232C end points: 1 or 2 */
310 int in_ep;
311 int out_ep; /* 1 or 2 */
312
313 /** Bitbang mode. 1: (default) Normal bitbang mode, 2: FT2232C SPI bitbang mode */
314 unsigned char bitbang_mode;
315
316 /** Decoded eeprom structure */
317 struct ftdi_eeprom *eeprom;
318
319 /** String representation of last error */
320 const char *error_str;
321
322 /** Defines behavior in case a kernel module is already attached to the device */
323 enum ftdi_module_detach_mode module_detach_mode;
324};
325
326/**
327 List all handled EEPROM values.
328 Append future new values only at the end to provide API/ABI stability*/
329enum ftdi_eeprom_value
330{
331 VENDOR_ID = 0,
332 PRODUCT_ID = 1,
333 SELF_POWERED = 2,
334 REMOTE_WAKEUP = 3,
335 IS_NOT_PNP = 4,
336 SUSPEND_DBUS7 = 5,
337 IN_IS_ISOCHRONOUS = 6,
338 OUT_IS_ISOCHRONOUS = 7,
339 SUSPEND_PULL_DOWNS = 8,
340 USE_SERIAL = 9,
341 USB_VERSION = 10,
342 USE_USB_VERSION = 11,
343 MAX_POWER = 12,
344 CHANNEL_A_TYPE = 13,
345 CHANNEL_B_TYPE = 14,
346 CHANNEL_A_DRIVER = 15,
347 CHANNEL_B_DRIVER = 16,
348 CBUS_FUNCTION_0 = 17,
349 CBUS_FUNCTION_1 = 18,
350 CBUS_FUNCTION_2 = 19,
351 CBUS_FUNCTION_3 = 20,
352 CBUS_FUNCTION_4 = 21,
353 CBUS_FUNCTION_5 = 22,
354 CBUS_FUNCTION_6 = 23,
355 CBUS_FUNCTION_7 = 24,
356 CBUS_FUNCTION_8 = 25,
357 CBUS_FUNCTION_9 = 26,
358 HIGH_CURRENT = 27,
359 HIGH_CURRENT_A = 28,
360 HIGH_CURRENT_B = 29,
361 INVERT = 30,
362 GROUP0_DRIVE = 31,
363 GROUP0_SCHMITT = 32,
364 GROUP0_SLEW = 33,
365 GROUP1_DRIVE = 34,
366 GROUP1_SCHMITT = 35,
367 GROUP1_SLEW = 36,
368 GROUP2_DRIVE = 37,
369 GROUP2_SCHMITT = 38,
370 GROUP2_SLEW = 39,
371 GROUP3_DRIVE = 40,
372 GROUP3_SCHMITT = 41,
373 GROUP3_SLEW = 42,
374 CHIP_SIZE = 43,
375 CHIP_TYPE = 44,
376 POWER_SAVE = 45,
377 CLOCK_POLARITY = 46,
378 DATA_ORDER = 47,
379 FLOW_CONTROL = 48,
380 CHANNEL_C_DRIVER = 49,
381 CHANNEL_D_DRIVER = 50,
382 CHANNEL_A_RS485 = 51,
383 CHANNEL_B_RS485 = 52,
384 CHANNEL_C_RS485 = 53,
385 CHANNEL_D_RS485 = 54,
386 RELEASE_NUMBER = 55,
387 EXTERNAL_OSCILLATOR= 56,
388 USER_DATA_ADDR = 57,
389};
390
391/**
392 \brief list of usb devices created by ftdi_usb_find_all()
393*/
394struct ftdi_device_list
395{
396 /** pointer to next entry */
397 struct ftdi_device_list *next;
398 /** pointer to libusb's usb_device */
399 struct libusb_device *dev;
400};
401#define FT1284_CLK_IDLE_STATE 0x01
402#define FT1284_DATA_LSB 0x02 /* DS_FT232H 1.3 amd ftd2xx.h 1.0.4 disagree here*/
403#define FT1284_FLOW_CONTROL 0x04
404#define POWER_SAVE_DISABLE_H 0x80
405
406#define USE_SERIAL_NUM 0x08
407enum ftdi_cbus_func
408{
409 CBUS_TXDEN = 0, CBUS_PWREN = 1, CBUS_RXLED = 2, CBUS_TXLED = 3, CBUS_TXRXLED = 4,
410 CBUS_SLEEP = 5, CBUS_CLK48 = 6, CBUS_CLK24 = 7, CBUS_CLK12 = 8, CBUS_CLK6 = 9,
411 CBUS_IOMODE = 0xa, CBUS_BB_WR = 0xb, CBUS_BB_RD = 0xc
412};
413
414enum ftdi_cbush_func
415{
416 CBUSH_TRISTATE = 0, CBUSH_TXLED = 1, CBUSH_RXLED = 2, CBUSH_TXRXLED = 3, CBUSH_PWREN = 4,
417 CBUSH_SLEEP = 5, CBUSH_DRIVE_0 = 6, CBUSH_DRIVE1 = 7, CBUSH_IOMODE = 8, CBUSH_TXDEN = 9,
418 CBUSH_CLK30 = 10, CBUSH_CLK15 = 11, CBUSH_CLK7_5 = 12
419};
420
421enum ftdi_cbusx_func
422{
423 CBUSX_TRISTATE = 0, CBUSX_TXLED = 1, CBUSX_RXLED = 2, CBUSX_TXRXLED = 3, CBUSX_PWREN = 4,
424 CBUSX_SLEEP = 5, CBUSX_DRIVE_0 = 6, CBUSX_DRIVE1 = 7, CBUSX_IOMODE = 8, CBUSX_TXDEN = 9,
425 CBUSX_CLK24 = 10, CBUSX_CLK12 = 11, CBUSX_CLK6 = 12, CBUSX_BAT_DETECT = 13,
426 CBUSX_BAT_DETECT_NEG = 14, CBUSX_I2C_TXE = 15, CBUSX_I2C_RXF = 16, CBUSX_VBUS_SENSE = 17,
427 CBUSX_BB_WR = 18, CBUSX_BB_RD = 19, CBUSX_TIME_STAMP = 20, CBUSX_AWAKE = 21
428};
429
430/** Invert TXD# */
431#define INVERT_TXD 0x01
432/** Invert RXD# */
433#define INVERT_RXD 0x02
434/** Invert RTS# */
435#define INVERT_RTS 0x04
436/** Invert CTS# */
437#define INVERT_CTS 0x08
438/** Invert DTR# */
439#define INVERT_DTR 0x10
440/** Invert DSR# */
441#define INVERT_DSR 0x20
442/** Invert DCD# */
443#define INVERT_DCD 0x40
444/** Invert RI# */
445#define INVERT_RI 0x80
446
447/** Interface Mode. */
448#define CHANNEL_IS_UART 0x0
449#define CHANNEL_IS_FIFO 0x1
450#define CHANNEL_IS_OPTO 0x2
451#define CHANNEL_IS_CPU 0x4
452#define CHANNEL_IS_FT1284 0x8
453
454#define CHANNEL_IS_RS485 0x10
455
456#define DRIVE_4MA 0
457#define DRIVE_8MA 1
458#define DRIVE_12MA 2
459#define DRIVE_16MA 3
460#define SLOW_SLEW 4
461#define IS_SCHMITT 8
462
463/** Driver Type. */
464#define DRIVER_VCP 0x08
465#define DRIVER_VCPH 0x10 /* FT232H has moved the VCP bit */
466
467#define USE_USB_VERSION_BIT 0x10
468
469#define SUSPEND_DBUS7_BIT 0x80
470
471/** High current drive. */
472#define HIGH_CURRENT_DRIVE 0x10
473#define HIGH_CURRENT_DRIVE_R 0x04
474
475/**
476 \brief Progress Info for streaming read
477*/
478struct size_and_time
479{
480 uint64_t totalBytes;
481 struct timeval time;
482};
483
484typedef struct
485{
486 struct size_and_time first;
487 struct size_and_time prev;
488 struct size_and_time current;
489 double totalTime;
490 double totalRate;
491 double currentRate;
492} FTDIProgressInfo;
493
494typedef int (FTDIStreamCallback)(uint8_t *buffer, int length,
495 FTDIProgressInfo *progress, void *userdata);
496
497/**
498 * Provide libftdi version information
499 * major: Library major version
500 * minor: Library minor version
501 * micro: Currently unused, ight get used for hotfixes.
502 * version_str: Version as (static) string
503 * snapshot_str: Git snapshot version if known. Otherwise "unknown" or empty string.
504*/
505struct ftdi_version_info
506{
507 int major;
508 int minor;
509 int micro;
510 const char *version_str;
511 const char *snapshot_str;
512};
513
514
515#ifdef __cplusplus
516extern "C"
517{
518#endif
519
520 int ftdi_init(struct ftdi_context *ftdi);
521 struct ftdi_context *ftdi_new(void);
522 int ftdi_set_interface(struct ftdi_context *ftdi, enum ftdi_interface interface);
523
524 void ftdi_deinit(struct ftdi_context *ftdi);
525 void ftdi_free(struct ftdi_context *ftdi);
526 void ftdi_set_usbdev (struct ftdi_context *ftdi, struct libusb_device_handle *usbdev);
527
528 struct ftdi_version_info ftdi_get_library_version(void);
529
530 int ftdi_usb_find_all(struct ftdi_context *ftdi, struct ftdi_device_list **devlist,
531 int vendor, int product);
532 void ftdi_list_free(struct ftdi_device_list **devlist);
533 void ftdi_list_free2(struct ftdi_device_list *devlist);
534 int ftdi_usb_get_strings(struct ftdi_context *ftdi, struct libusb_device *dev,
535 char *manufacturer, int mnf_len,
536 char *description, int desc_len,
537 char *serial, int serial_len);
538 int ftdi_usb_get_strings2(struct ftdi_context *ftdi, struct libusb_device *dev,
539 char *manufacturer, int mnf_len,
540 char *description, int desc_len,
541 char *serial, int serial_len);
542
543 int ftdi_eeprom_get_strings(struct ftdi_context *ftdi,
544 char *manufacturer, int mnf_len,
545 char *product, int prod_len,
546 char *serial, int serial_len);
547 int ftdi_eeprom_set_strings(struct ftdi_context *ftdi, char * manufacturer,
548 char * product, char * serial);
549
550 int ftdi_usb_open(struct ftdi_context *ftdi, int vendor, int product);
551 int ftdi_usb_open_desc(struct ftdi_context *ftdi, int vendor, int product,
552 const char* description, const char* serial);
553 int ftdi_usb_open_desc_index(struct ftdi_context *ftdi, int vendor, int product,
554 const char* description, const char* serial, unsigned int index);
555 int ftdi_usb_open_bus_addr(struct ftdi_context *ftdi, uint8_t bus, uint8_t addr);
556 int ftdi_usb_open_dev(struct ftdi_context *ftdi, struct libusb_device *dev);
557 int ftdi_usb_open_string(struct ftdi_context *ftdi, const char* description);
558
559 int ftdi_usb_close(struct ftdi_context *ftdi);
560 int ftdi_usb_reset(struct ftdi_context *ftdi);
561 int ftdi_tciflush(struct ftdi_context *ftdi);
562 int ftdi_tcoflush(struct ftdi_context *ftdi);
563 int ftdi_tcioflush(struct ftdi_context *ftdi);
564 int DEPRECATED(ftdi_usb_purge_rx_buffer(struct ftdi_context *ftdi));
565 int DEPRECATED(ftdi_usb_purge_tx_buffer(struct ftdi_context *ftdi));
566 int DEPRECATED(ftdi_usb_purge_buffers(struct ftdi_context *ftdi));
567
568 int ftdi_set_baudrate(struct ftdi_context *ftdi, int baudrate);
569 int ftdi_set_line_property(struct ftdi_context *ftdi, enum ftdi_bits_type bits,
570 enum ftdi_stopbits_type sbit, enum ftdi_parity_type parity);
571 int ftdi_set_line_property2(struct ftdi_context *ftdi, enum ftdi_bits_type bits,
572 enum ftdi_stopbits_type sbit, enum ftdi_parity_type parity,
573 enum ftdi_break_type break_type);
574
575 int ftdi_read_data(struct ftdi_context *ftdi, unsigned char *buf, int size);
576 int ftdi_read_data_set_chunksize(struct ftdi_context *ftdi, unsigned int chunksize);
577 int ftdi_read_data_get_chunksize(struct ftdi_context *ftdi, unsigned int *chunksize);
578
579 int ftdi_write_data(struct ftdi_context *ftdi, const unsigned char *buf, int size);
580 int ftdi_write_data_set_chunksize(struct ftdi_context *ftdi, unsigned int chunksize);
581 int ftdi_write_data_get_chunksize(struct ftdi_context *ftdi, unsigned int *chunksize);
582
583 int ftdi_readstream(struct ftdi_context *ftdi, FTDIStreamCallback *callback,
584 void *userdata, int packetsPerTransfer, int numTransfers);
585 struct ftdi_transfer_control *ftdi_write_data_submit(struct ftdi_context *ftdi, unsigned char *buf, int size);
586
587 struct ftdi_transfer_control *ftdi_read_data_submit(struct ftdi_context *ftdi, unsigned char *buf, int size);
588 int ftdi_transfer_data_done(struct ftdi_transfer_control *tc);
589 void ftdi_transfer_data_cancel(struct ftdi_transfer_control *tc, struct timeval * to);
590
591 int ftdi_set_bitmode(struct ftdi_context *ftdi, unsigned char bitmask, unsigned char mode);
592 int ftdi_disable_bitbang(struct ftdi_context *ftdi);
593 int ftdi_read_pins(struct ftdi_context *ftdi, unsigned char *pins);
594
595 int ftdi_set_latency_timer(struct ftdi_context *ftdi, unsigned char latency);
596 int ftdi_get_latency_timer(struct ftdi_context *ftdi, unsigned char *latency);
597
598 int ftdi_poll_modem_status(struct ftdi_context *ftdi, unsigned short *status);
599
600 /* flow control */
601 int ftdi_setflowctrl(struct ftdi_context *ftdi, int flowctrl);
602 int ftdi_setflowctrl_xonxoff(struct ftdi_context *ftdi, unsigned char xon, unsigned char xoff);
603 int ftdi_setdtr_rts(struct ftdi_context *ftdi, int dtr, int rts);
604 int ftdi_setdtr(struct ftdi_context *ftdi, int state);
605 int ftdi_setrts(struct ftdi_context *ftdi, int state);
606
607 int ftdi_set_event_char(struct ftdi_context *ftdi, unsigned char eventch, unsigned char enable);
608 int ftdi_set_error_char(struct ftdi_context *ftdi, unsigned char errorch, unsigned char enable);
609
610 /* init eeprom for the given FTDI type */
611 int ftdi_eeprom_initdefaults(struct ftdi_context *ftdi,
612 char * manufacturer, char *product,
613 char * serial);
614 int ftdi_eeprom_build(struct ftdi_context *ftdi);
615 int ftdi_eeprom_decode(struct ftdi_context *ftdi, int verbose);
616
617 int ftdi_get_eeprom_value(struct ftdi_context *ftdi, enum ftdi_eeprom_value value_name, int* value);
618 int ftdi_set_eeprom_value(struct ftdi_context *ftdi, enum ftdi_eeprom_value value_name, int value);
619
620 int ftdi_get_eeprom_buf(struct ftdi_context *ftdi, unsigned char * buf, int size);
621 int ftdi_set_eeprom_buf(struct ftdi_context *ftdi, const unsigned char * buf, int size);
622
623 int ftdi_set_eeprom_user_data(struct ftdi_context *ftdi, const char * buf, int size);
624
625 int ftdi_read_eeprom(struct ftdi_context *ftdi);
626 int ftdi_read_chipid(struct ftdi_context *ftdi, unsigned int *chipid);
627 int ftdi_write_eeprom(struct ftdi_context *ftdi);
628 int ftdi_erase_eeprom(struct ftdi_context *ftdi);
629
630 int ftdi_read_eeprom_location (struct ftdi_context *ftdi, int eeprom_addr, unsigned short *eeprom_val);
631 int ftdi_write_eeprom_location(struct ftdi_context *ftdi, int eeprom_addr, unsigned short eeprom_val);
632
633 const char *ftdi_get_error_string(struct ftdi_context *ftdi);
634
635#ifdef __cplusplus
636}
637#endif
638
639#endif /* __libftdi_h__ */