Added partial support for FT230X
[libftdi] / src / ftdi.h
... / ...
CommitLineData
1/***************************************************************************
2 ftdi.h - description
3 -------------------
4 begin : Fri Apr 4 2003
5 copyright : (C) 2003-2013 by Intra2net AG and the libftdi developers
6 email : opensource@intra2net.com
7 ***************************************************************************/
8
9/***************************************************************************
10 * *
11 * This program is free software; you can redistribute it and/or modify *
12 * it under the terms of the GNU Lesser General Public License *
13 * version 2.1 as published by the Free Software Foundation; *
14 * *
15 ***************************************************************************/
16
17#ifndef __libftdi_h__
18#define __libftdi_h__
19
20#include <stdint.h>
21#include <sys/time.h>
22
23/** FTDI chip type */
24enum ftdi_chip_type {
25 TYPE_AM=0,
26 TYPE_BM=1,
27 TYPE_2232C=2,
28 TYPE_R=3,
29 TYPE_2232H=4,
30 TYPE_4232H=5,
31 TYPE_232H=6,
32 TYPE_230X=7,
33};
34/** Parity mode for ftdi_set_line_property() */
35enum ftdi_parity_type { NONE=0, ODD=1, EVEN=2, MARK=3, SPACE=4 };
36/** Number of stop bits for ftdi_set_line_property() */
37enum ftdi_stopbits_type { STOP_BIT_1=0, STOP_BIT_15=1, STOP_BIT_2=2 };
38/** Number of bits for ftdi_set_line_property() */
39enum ftdi_bits_type { BITS_7=7, BITS_8=8 };
40/** Break type for ftdi_set_line_property2() */
41enum ftdi_break_type { BREAK_OFF=0, BREAK_ON=1 };
42
43/** MPSSE bitbang modes */
44enum ftdi_mpsse_mode
45{
46 BITMODE_RESET = 0x00, /**< switch off bitbang mode, back to regular serial/FIFO */
47 BITMODE_BITBANG= 0x01, /**< classical asynchronous bitbang mode, introduced with B-type chips */
48 BITMODE_MPSSE = 0x02, /**< MPSSE mode, available on 2232x chips */
49 BITMODE_SYNCBB = 0x04, /**< synchronous bitbang mode, available on 2232x and R-type chips */
50 BITMODE_MCU = 0x08, /**< MCU Host Bus Emulation mode, available on 2232x chips */
51 /* CPU-style fifo mode gets set via EEPROM */
52 BITMODE_OPTO = 0x10, /**< Fast Opto-Isolated Serial Interface Mode, available on 2232x chips */
53 BITMODE_CBUS = 0x20, /**< Bitbang on CBUS pins of R-type chips, configure in EEPROM before */
54 BITMODE_SYNCFF = 0x40, /**< Single Channel Synchronous FIFO mode, available on 2232H chips */
55 BITMODE_FT1284 = 0x80, /**< FT1284 mode, available on 232H chips */
56};
57
58/** Port interface for chips with multiple interfaces */
59enum ftdi_interface
60{
61 INTERFACE_ANY = 0,
62 INTERFACE_A = 1,
63 INTERFACE_B = 2,
64 INTERFACE_C = 3,
65 INTERFACE_D = 4
66};
67
68/** Automatic loading / unloading of kernel modules */
69enum ftdi_module_detach_mode
70{
71 AUTO_DETACH_SIO_MODULE = 0,
72 DONT_DETACH_SIO_MODULE = 1
73};
74
75/* Shifting commands IN MPSSE Mode*/
76#define MPSSE_WRITE_NEG 0x01 /* Write TDI/DO on negative TCK/SK edge*/
77#define MPSSE_BITMODE 0x02 /* Write bits, not bytes */
78#define MPSSE_READ_NEG 0x04 /* Sample TDO/DI on negative TCK/SK edge */
79#define MPSSE_LSB 0x08 /* LSB first */
80#define MPSSE_DO_WRITE 0x10 /* Write TDI/DO */
81#define MPSSE_DO_READ 0x20 /* Read TDO/DI */
82#define MPSSE_WRITE_TMS 0x40 /* Write TMS/CS */
83
84/* FTDI MPSSE commands */
85#define SET_BITS_LOW 0x80
86/*BYTE DATA*/
87/*BYTE Direction*/
88#define SET_BITS_HIGH 0x82
89/*BYTE DATA*/
90/*BYTE Direction*/
91#define GET_BITS_LOW 0x81
92#define GET_BITS_HIGH 0x83
93#define LOOPBACK_START 0x84
94#define LOOPBACK_END 0x85
95#define TCK_DIVISOR 0x86
96/* H Type specific commands */
97#define DIS_DIV_5 0x8a
98#define EN_DIV_5 0x8b
99#define EN_3_PHASE 0x8c
100#define DIS_3_PHASE 0x8d
101#define CLK_BITS 0x8e
102#define CLK_BYTES 0x8f
103#define CLK_WAIT_HIGH 0x94
104#define CLK_WAIT_LOW 0x95
105#define EN_ADAPTIVE 0x96
106#define DIS_ADAPTIVE 0x97
107#define CLK_BYTES_OR_HIGH 0x9c
108#define CLK_BYTES_OR_LOW 0x0d
109/*FT232H specific commands */
110#define DRIVE_OPEN_COLLECTOR 0x9e
111/* Value Low */
112/* Value HIGH */ /*rate is 12000000/((1+value)*2) */
113#define DIV_VALUE(rate) (rate > 6000000)?0:((6000000/rate -1) > 0xffff)? 0xffff: (6000000/rate -1)
114
115/* Commands in MPSSE and Host Emulation Mode */
116#define SEND_IMMEDIATE 0x87
117#define WAIT_ON_HIGH 0x88
118#define WAIT_ON_LOW 0x89
119
120/* Commands in Host Emulation Mode */
121#define READ_SHORT 0x90
122/* Address_Low */
123#define READ_EXTENDED 0x91
124/* Address High */
125/* Address Low */
126#define WRITE_SHORT 0x92
127/* Address_Low */
128#define WRITE_EXTENDED 0x93
129/* Address High */
130/* Address Low */
131
132/* Definitions for flow control */
133#define SIO_RESET 0 /* Reset the port */
134#define SIO_MODEM_CTRL 1 /* Set the modem control register */
135#define SIO_SET_FLOW_CTRL 2 /* Set flow control register */
136#define SIO_SET_BAUD_RATE 3 /* Set baud rate */
137#define SIO_SET_DATA 4 /* Set the data characteristics of the port */
138
139#define FTDI_DEVICE_OUT_REQTYPE (LIBUSB_REQUEST_TYPE_VENDOR | LIBUSB_RECIPIENT_DEVICE | LIBUSB_ENDPOINT_OUT)
140#define FTDI_DEVICE_IN_REQTYPE (LIBUSB_REQUEST_TYPE_VENDOR | LIBUSB_RECIPIENT_DEVICE | LIBUSB_ENDPOINT_IN)
141
142/* Requests */
143#define SIO_RESET_REQUEST SIO_RESET
144#define SIO_SET_BAUDRATE_REQUEST SIO_SET_BAUD_RATE
145#define SIO_SET_DATA_REQUEST SIO_SET_DATA
146#define SIO_SET_FLOW_CTRL_REQUEST SIO_SET_FLOW_CTRL
147#define SIO_SET_MODEM_CTRL_REQUEST SIO_MODEM_CTRL
148#define SIO_POLL_MODEM_STATUS_REQUEST 0x05
149#define SIO_SET_EVENT_CHAR_REQUEST 0x06
150#define SIO_SET_ERROR_CHAR_REQUEST 0x07
151#define SIO_SET_LATENCY_TIMER_REQUEST 0x09
152#define SIO_GET_LATENCY_TIMER_REQUEST 0x0A
153#define SIO_SET_BITMODE_REQUEST 0x0B
154#define SIO_READ_PINS_REQUEST 0x0C
155#define SIO_READ_EEPROM_REQUEST 0x90
156#define SIO_WRITE_EEPROM_REQUEST 0x91
157#define SIO_ERASE_EEPROM_REQUEST 0x92
158
159
160#define SIO_RESET_SIO 0
161#define SIO_RESET_PURGE_RX 1
162#define SIO_RESET_PURGE_TX 2
163
164#define SIO_DISABLE_FLOW_CTRL 0x0
165#define SIO_RTS_CTS_HS (0x1 << 8)
166#define SIO_DTR_DSR_HS (0x2 << 8)
167#define SIO_XON_XOFF_HS (0x4 << 8)
168
169#define SIO_SET_DTR_MASK 0x1
170#define SIO_SET_DTR_HIGH ( 1 | ( SIO_SET_DTR_MASK << 8))
171#define SIO_SET_DTR_LOW ( 0 | ( SIO_SET_DTR_MASK << 8))
172#define SIO_SET_RTS_MASK 0x2
173#define SIO_SET_RTS_HIGH ( 2 | ( SIO_SET_RTS_MASK << 8 ))
174#define SIO_SET_RTS_LOW ( 0 | ( SIO_SET_RTS_MASK << 8 ))
175
176#define SIO_RTS_CTS_HS (0x1 << 8)
177
178/* marker for unused usb urb structures
179 (taken from libusb) */
180#define FTDI_URB_USERCONTEXT_COOKIE ((void *)0x1)
181
182#ifdef __GNUC__
183 #define DEPRECATED(func) func __attribute__ ((deprecated))
184#elif defined(_MSC_VER)
185 #define DEPRECATED(func) __declspec(deprecated) func
186#else
187 #pragma message("WARNING: You need to implement DEPRECATED for this compiler")
188 #define DEPRECATED(func) func
189#endif
190
191struct ftdi_transfer_control
192{
193 int completed;
194 unsigned char *buf;
195 int size;
196 int offset;
197 struct ftdi_context *ftdi;
198 struct libusb_transfer *transfer;
199};
200
201/**
202 \brief Main context structure for all libftdi functions.
203
204 Do not access directly if possible.
205*/
206struct ftdi_context
207{
208 /* USB specific */
209 /** libusb's context */
210 struct libusb_context *usb_ctx;
211 /** libusb's usb_dev_handle */
212 struct libusb_device_handle *usb_dev;
213 /** usb read timeout */
214 int usb_read_timeout;
215 /** usb write timeout */
216 int usb_write_timeout;
217
218 /* FTDI specific */
219 /** FTDI chip type */
220 enum ftdi_chip_type type;
221 /** baudrate */
222 int baudrate;
223 /** bitbang mode state */
224 unsigned char bitbang_enabled;
225 /** pointer to read buffer for ftdi_read_data */
226 unsigned char *readbuffer;
227 /** read buffer offset */
228 unsigned int readbuffer_offset;
229 /** number of remaining data in internal read buffer */
230 unsigned int readbuffer_remaining;
231 /** read buffer chunk size */
232 unsigned int readbuffer_chunksize;
233 /** write buffer chunk size */
234 unsigned int writebuffer_chunksize;
235 /** maximum packet size. Needed for filtering modem status bytes every n packets. */
236 unsigned int max_packet_size;
237
238 /* FTDI FT2232C requirecments */
239 /** FT2232C interface number: 0 or 1 */
240 int interface; /* 0 or 1 */
241 /** FT2232C index number: 1 or 2 */
242 int index; /* 1 or 2 */
243 /* Endpoints */
244 /** FT2232C end points: 1 or 2 */
245 int in_ep;
246 int out_ep; /* 1 or 2 */
247
248 /** Bitbang mode. 1: (default) Normal bitbang mode, 2: FT2232C SPI bitbang mode */
249 unsigned char bitbang_mode;
250
251 /** Decoded eeprom structure */
252 struct ftdi_eeprom *eeprom;
253
254 /** String representation of last error */
255 char *error_str;
256
257 /** Defines behavior in case a kernel module is already attached to the device */
258 enum ftdi_module_detach_mode module_detach_mode;
259};
260
261/**
262 List all handled EEPROM values.
263 Append future new values only at the end to provide API/ABI stability*/
264enum ftdi_eeprom_value
265{
266 VENDOR_ID = 0,
267 PRODUCT_ID = 1,
268 SELF_POWERED = 2,
269 REMOTE_WAKEUP = 3,
270 IS_NOT_PNP = 4,
271 SUSPEND_DBUS7 = 5,
272 IN_IS_ISOCHRONOUS = 6,
273 OUT_IS_ISOCHRONOUS = 7,
274 SUSPEND_PULL_DOWNS = 8,
275 USE_SERIAL = 9,
276 USB_VERSION = 10,
277 USE_USB_VERSION = 11,
278 MAX_POWER = 12,
279 CHANNEL_A_TYPE = 13,
280 CHANNEL_B_TYPE = 14,
281 CHANNEL_A_DRIVER = 15,
282 CHANNEL_B_DRIVER = 16,
283 CBUS_FUNCTION_0 = 17,
284 CBUS_FUNCTION_1 = 18,
285 CBUS_FUNCTION_2 = 19,
286 CBUS_FUNCTION_3 = 20,
287 CBUS_FUNCTION_4 = 21,
288 CBUS_FUNCTION_5 = 22,
289 CBUS_FUNCTION_6 = 23,
290 CBUS_FUNCTION_7 = 24,
291 CBUS_FUNCTION_8 = 25,
292 CBUS_FUNCTION_9 = 26,
293 HIGH_CURRENT = 27,
294 HIGH_CURRENT_A = 28,
295 HIGH_CURRENT_B = 29,
296 INVERT = 30,
297 GROUP0_DRIVE = 31,
298 GROUP0_SCHMITT = 32,
299 GROUP0_SLEW = 33,
300 GROUP1_DRIVE = 34,
301 GROUP1_SCHMITT = 35,
302 GROUP1_SLEW = 36,
303 GROUP2_DRIVE = 37,
304 GROUP2_SCHMITT = 38,
305 GROUP2_SLEW = 39,
306 GROUP3_DRIVE = 40,
307 GROUP3_SCHMITT = 41,
308 GROUP3_SLEW = 42,
309 CHIP_SIZE = 43,
310 CHIP_TYPE = 44,
311 POWER_SAVE = 45,
312 CLOCK_POLARITY = 46,
313 DATA_ORDER = 47,
314 FLOW_CONTROL = 48,
315 CHANNEL_C_DRIVER = 49,
316 CHANNEL_D_DRIVER = 50,
317 CHANNEL_A_RS485 = 51,
318 CHANNEL_B_RS485 = 52,
319 CHANNEL_C_RS485 = 53,
320 CHANNEL_D_RS485 = 54,
321 RELEASE_NUMBER = 55,
322};
323
324/**
325 \brief list of usb devices created by ftdi_usb_find_all()
326*/
327struct ftdi_device_list
328{
329 /** pointer to next entry */
330 struct ftdi_device_list *next;
331 /** pointer to libusb's usb_device */
332 struct libusb_device *dev;
333};
334#define FT1284_CLK_IDLE_STATE 0x01
335#define FT1284_DATA_LSB 0x02 /* DS_FT232H 1.3 amd ftd2xx.h 1.0.4 disagree here*/
336#define FT1284_FLOW_CONTROL 0x04
337#define POWER_SAVE_DISABLE_H 0x80
338
339#define USE_SERIAL_NUM 0x08
340enum ftdi_cbus_func {/* FIXME: Recheck value, especially the last */
341 CBUS_TXDEN = 0, CBUS_PWREN = 1, CBUS_RXLED = 2, CBUS_TXLED = 3, CBUS_TXRXLED = 4,
342 CBUS_SLEEP = 5, CBUS_CLK48 = 6, CBUS_CLK24 = 7, CBUS_CLK12 = 8, CBUS_CLK6 = 9,
343 CBUS_IOMODE = 0xa, CBUS_BB_WR = 0xb, CBUS_BB_RD = 0xc, CBUS_BB = 0xd};
344
345enum ftdi_cbush_func {/* FIXME: Recheck value, especially the last */
346 CBUSH_TRISTATE = 0, CBUSH_RXLED = 1, CBUSH_TXLED = 2, CBUSH_TXRXLED = 3, CBUSH_PWREN = 4,
347 CBUSH_SLEEP = 5, CBUSH_DRIVE_0 = 6, CBUSG_DRIVE1 = 7, CBUSH_IOMODE = 8, CBUSH_TXDEN = 9,
348 CBUSH_CLK30 = 10, CBUSH_CLK15 = 11, CBUSH_CLK7_5 = 12, CBUSH_BAT_DETECT = 13,
349 CBUSH_BAT_DETECT_NEG = 14, CBUSH_I2C_TXE = 15, CBUSH_I2C_RXF = 16, CBUSH_VBUS_SENSE = 17,
350 CBUSH_BB_WR = 18, CBUSH_BB_RD = 19, CBUSH_TIME_STAMP = 20, CBUSH_AWAKE = 21,};
351
352/** Invert TXD# */
353#define INVERT_TXD 0x01
354/** Invert RXD# */
355#define INVERT_RXD 0x02
356/** Invert RTS# */
357#define INVERT_RTS 0x04
358/** Invert CTS# */
359#define INVERT_CTS 0x08
360/** Invert DTR# */
361#define INVERT_DTR 0x10
362/** Invert DSR# */
363#define INVERT_DSR 0x20
364/** Invert DCD# */
365#define INVERT_DCD 0x40
366/** Invert RI# */
367#define INVERT_RI 0x80
368
369/** Interface Mode. */
370#define CHANNEL_IS_UART 0x0
371#define CHANNEL_IS_FIFO 0x1
372#define CHANNEL_IS_OPTO 0x2
373#define CHANNEL_IS_CPU 0x4
374#define CHANNEL_IS_FT1284 0x8
375
376#define CHANNEL_IS_RS485 0x10
377
378#define DRIVE_4MA 0
379#define DRIVE_8MA 1
380#define DRIVE_12MA 2
381#define DRIVE_16MA 3
382#define SLOW_SLEW 4
383#define IS_SCHMITT 8
384
385/** Driver Type. */
386#define DRIVER_VCP 0x08
387#define DRIVER_VCPH 0x10 /* FT232H has moved the VCP bit */
388
389#define USE_USB_VERSION_BIT 0x10
390
391#define SUSPEND_DBUS7_BIT 0x80
392
393/** High current drive. */
394#define HIGH_CURRENT_DRIVE 0x10
395#define HIGH_CURRENT_DRIVE_R 0x04
396
397/**
398 \brief Progress Info for streaming read
399*/
400struct size_and_time
401{
402 uint64_t totalBytes;
403 struct timeval time;
404};
405
406typedef struct
407{
408 struct size_and_time first;
409 struct size_and_time prev;
410 struct size_and_time current;
411 double totalTime;
412 double totalRate;
413 double currentRate;
414} FTDIProgressInfo;
415
416typedef int (FTDIStreamCallback)(uint8_t *buffer, int length,
417 FTDIProgressInfo *progress, void *userdata);
418
419/**
420 * Provide libftdi version information
421 * major: Library major version
422 * minor: Library minor version
423 * micro: Currently unused, ight get used for hotfixes.
424 * version_str: Version as (static) string
425 * snapshot_str: Git snapshot version if known. Otherwise "unknown" or empty string.
426*/
427struct ftdi_version_info
428{
429 int major;
430 int minor;
431 int micro;
432 const char *version_str;
433 const char *snapshot_str;
434};
435
436
437#ifdef __cplusplus
438extern "C"
439{
440#endif
441
442 int ftdi_init(struct ftdi_context *ftdi);
443 struct ftdi_context *ftdi_new(void);
444 int ftdi_set_interface(struct ftdi_context *ftdi, enum ftdi_interface interface);
445
446 void ftdi_deinit(struct ftdi_context *ftdi);
447 void ftdi_free(struct ftdi_context *ftdi);
448 void ftdi_set_usbdev (struct ftdi_context *ftdi, struct libusb_device_handle *usbdev);
449
450 struct ftdi_version_info ftdi_get_library_version();
451
452 int ftdi_usb_find_all(struct ftdi_context *ftdi, struct ftdi_device_list **devlist,
453 int vendor, int product);
454 void ftdi_list_free(struct ftdi_device_list **devlist);
455 void ftdi_list_free2(struct ftdi_device_list *devlist);
456 int ftdi_usb_get_strings(struct ftdi_context *ftdi, struct libusb_device *dev,
457 char * manufacturer, int mnf_len,
458 char * description, int desc_len,
459 char * serial, int serial_len);
460 int ftdi_eeprom_set_strings(struct ftdi_context *ftdi, char * manufacturer,
461 char * product, char * serial);
462
463 int ftdi_usb_open(struct ftdi_context *ftdi, int vendor, int product);
464 int ftdi_usb_open_desc(struct ftdi_context *ftdi, int vendor, int product,
465 const char* description, const char* serial);
466 int ftdi_usb_open_desc_index(struct ftdi_context *ftdi, int vendor, int product,
467 const char* description, const char* serial, unsigned int index);
468 int ftdi_usb_open_dev(struct ftdi_context *ftdi, struct libusb_device *dev);
469 int ftdi_usb_open_string(struct ftdi_context *ftdi, const char* description);
470
471 int ftdi_usb_close(struct ftdi_context *ftdi);
472 int ftdi_usb_reset(struct ftdi_context *ftdi);
473 int ftdi_usb_purge_rx_buffer(struct ftdi_context *ftdi);
474 int ftdi_usb_purge_tx_buffer(struct ftdi_context *ftdi);
475 int ftdi_usb_purge_buffers(struct ftdi_context *ftdi);
476
477 int ftdi_set_baudrate(struct ftdi_context *ftdi, int baudrate);
478 int ftdi_set_line_property(struct ftdi_context *ftdi, enum ftdi_bits_type bits,
479 enum ftdi_stopbits_type sbit, enum ftdi_parity_type parity);
480 int ftdi_set_line_property2(struct ftdi_context *ftdi, enum ftdi_bits_type bits,
481 enum ftdi_stopbits_type sbit, enum ftdi_parity_type parity,
482 enum ftdi_break_type break_type);
483
484 int ftdi_read_data(struct ftdi_context *ftdi, unsigned char *buf, int size);
485 int ftdi_read_data_set_chunksize(struct ftdi_context *ftdi, unsigned int chunksize);
486 int ftdi_read_data_get_chunksize(struct ftdi_context *ftdi, unsigned int *chunksize);
487
488 int ftdi_write_data(struct ftdi_context *ftdi, unsigned char *buf, int size);
489 int ftdi_write_data_set_chunksize(struct ftdi_context *ftdi, unsigned int chunksize);
490 int ftdi_write_data_get_chunksize(struct ftdi_context *ftdi, unsigned int *chunksize);
491
492 int ftdi_readstream(struct ftdi_context *ftdi, FTDIStreamCallback *callback,
493 void *userdata, int packetsPerTransfer, int numTransfers);
494 struct ftdi_transfer_control *ftdi_write_data_submit(struct ftdi_context *ftdi, unsigned char *buf, int size);
495
496 struct ftdi_transfer_control *ftdi_read_data_submit(struct ftdi_context *ftdi, unsigned char *buf, int size);
497 int ftdi_transfer_data_done(struct ftdi_transfer_control *tc);
498
499 int ftdi_set_bitmode(struct ftdi_context *ftdi, unsigned char bitmask, unsigned char mode);
500 int ftdi_disable_bitbang(struct ftdi_context *ftdi);
501 int ftdi_read_pins(struct ftdi_context *ftdi, unsigned char *pins);
502
503 int ftdi_set_latency_timer(struct ftdi_context *ftdi, unsigned char latency);
504 int ftdi_get_latency_timer(struct ftdi_context *ftdi, unsigned char *latency);
505
506 int ftdi_poll_modem_status(struct ftdi_context *ftdi, unsigned short *status);
507
508 /* flow control */
509 int ftdi_setflowctrl(struct ftdi_context *ftdi, int flowctrl);
510 int ftdi_setdtr_rts(struct ftdi_context *ftdi, int dtr, int rts);
511 int ftdi_setdtr(struct ftdi_context *ftdi, int state);
512 int ftdi_setrts(struct ftdi_context *ftdi, int state);
513
514 int ftdi_set_event_char(struct ftdi_context *ftdi, unsigned char eventch, unsigned char enable);
515 int ftdi_set_error_char(struct ftdi_context *ftdi, unsigned char errorch, unsigned char enable);
516
517 /* init eeprom for the given FTDI type */
518 int ftdi_eeprom_initdefaults(struct ftdi_context *ftdi,
519 char * manufacturer, char *product,
520 char * serial);
521 int ftdi_eeprom_build(struct ftdi_context *ftdi);
522 int ftdi_eeprom_decode(struct ftdi_context *ftdi, int verbose);
523
524 int ftdi_get_eeprom_value(struct ftdi_context *ftdi, enum ftdi_eeprom_value value_name, int* value);
525 int ftdi_set_eeprom_value(struct ftdi_context *ftdi, enum ftdi_eeprom_value value_name, int value);
526
527 int ftdi_get_eeprom_buf(struct ftdi_context *ftdi, unsigned char * buf, int size);
528 int ftdi_set_eeprom_buf(struct ftdi_context *ftdi, const unsigned char * buf, int size);
529
530 int ftdi_read_eeprom(struct ftdi_context *ftdi);
531 int ftdi_read_chipid(struct ftdi_context *ftdi, unsigned int *chipid);
532 int ftdi_write_eeprom(struct ftdi_context *ftdi);
533 int ftdi_erase_eeprom(struct ftdi_context *ftdi);
534
535 int ftdi_read_eeprom_location (struct ftdi_context *ftdi, int eeprom_addr, unsigned short *eeprom_val);
536 int ftdi_write_eeprom_location(struct ftdi_context *ftdi, int eeprom_addr, unsigned short eeprom_val);
537
538 char *ftdi_get_error_string(struct ftdi_context *ftdi);
539
540#ifdef __cplusplus
541}
542#endif
543
544#endif /* __libftdi_h__ */