Fix cbus.py example
[libftdi] / src / ftdi.h
CommitLineData
a3da1d95
GE
1/***************************************************************************
2 ftdi.h - description
3 -------------------
4 begin : Fri Apr 4 2003
dcd7e8a3 5 copyright : (C) 2003-2014 by Intra2net AG and the libftdi developers
5fdb1cb1 6 email : opensource@intra2net.com
a3da1d95
GE
7 ***************************************************************************/
8
9/***************************************************************************
10 * *
11 * This program is free software; you can redistribute it and/or modify *
12 * it under the terms of the GNU Lesser General Public License *
13 * version 2.1 as published by the Free Software Foundation; *
14 * *
15 ***************************************************************************/
16
17#ifndef __libftdi_h__
18#define __libftdi_h__
19
fec55667
AL
20#include <stdint.h>
21#include <sys/time.h>
0e302db6 22
ca7d3244
PF
23/* 'interface' might be defined as a macro on Windows, so we need to
24 * undefine it so as not to break the current libftdi API, because
25 * struct ftdi_context has an 'interface' member
26 * As this can be problematic if you include windows.h after ftdi.h
27 * in your sources, we force windows.h to be included first. */
28#if defined(_WIN32) || defined(__CYGWIN__) || defined(_WIN32_WCE)
29#include <windows.h>
30#if defined(interface)
31#undef interface
32#endif
33#endif
34
91c9886b 35/** FTDI chip type */
74387f27
TJ
36enum ftdi_chip_type
37{
2f80efc2
NP
38 TYPE_AM=0,
39 TYPE_BM=1,
40 TYPE_2232C=2,
41 TYPE_R=3,
42 TYPE_2232H=4,
43 TYPE_4232H=5,
44 TYPE_232H=6,
45 TYPE_230X=7,
46};
91c9886b 47/** Parity mode for ftdi_set_line_property() */
2f73e59f 48enum ftdi_parity_type { NONE=0, ODD=1, EVEN=2, MARK=3, SPACE=4 };
91c9886b 49/** Number of stop bits for ftdi_set_line_property() */
2f73e59f 50enum ftdi_stopbits_type { STOP_BIT_1=0, STOP_BIT_15=1, STOP_BIT_2=2 };
91c9886b 51/** Number of bits for ftdi_set_line_property() */
2f73e59f 52enum ftdi_bits_type { BITS_7=7, BITS_8=8 };
91c9886b 53/** Break type for ftdi_set_line_property2() */
6c32e222 54enum ftdi_break_type { BREAK_OFF=0, BREAK_ON=1 };
2f73e59f 55
91c9886b 56/** MPSSE bitbang modes */
22d12cda
TJ
57enum ftdi_mpsse_mode
58{
418aaa72
GE
59 BITMODE_RESET = 0x00, /**< switch off bitbang mode, back to regular serial/FIFO */
60 BITMODE_BITBANG= 0x01, /**< classical asynchronous bitbang mode, introduced with B-type chips */
61 BITMODE_MPSSE = 0x02, /**< MPSSE mode, available on 2232x chips */
62 BITMODE_SYNCBB = 0x04, /**< synchronous bitbang mode, available on 2232x and R-type chips */
63 BITMODE_MCU = 0x08, /**< MCU Host Bus Emulation mode, available on 2232x chips */
74387f27 64 /* CPU-style fifo mode gets set via EEPROM */
418aaa72
GE
65 BITMODE_OPTO = 0x10, /**< Fast Opto-Isolated Serial Interface Mode, available on 2232x chips */
66 BITMODE_CBUS = 0x20, /**< Bitbang on CBUS pins of R-type chips, configure in EEPROM before */
67 BITMODE_SYNCFF = 0x40, /**< Single Channel Synchronous FIFO mode, available on 2232H chips */
7a172caf 68 BITMODE_FT1284 = 0x80, /**< FT1284 mode, available on 232H chips */
c4446c36
TJ
69};
70
418aaa72 71/** Port interface for chips with multiple interfaces */
22d12cda
TJ
72enum ftdi_interface
73{
c4446c36
TJ
74 INTERFACE_ANY = 0,
75 INTERFACE_A = 1,
f9d69895
AH
76 INTERFACE_B = 2,
77 INTERFACE_C = 3,
78 INTERFACE_D = 4
c4446c36
TJ
79};
80
a3d86bdb
TJ
81/** Automatic loading / unloading of kernel modules */
82enum ftdi_module_detach_mode
83{
84 AUTO_DETACH_SIO_MODULE = 0,
85 DONT_DETACH_SIO_MODULE = 1
86};
87
c4446c36
TJ
88/* Shifting commands IN MPSSE Mode*/
89#define MPSSE_WRITE_NEG 0x01 /* Write TDI/DO on negative TCK/SK edge*/
90#define MPSSE_BITMODE 0x02 /* Write bits, not bytes */
91#define MPSSE_READ_NEG 0x04 /* Sample TDO/DI on negative TCK/SK edge */
92#define MPSSE_LSB 0x08 /* LSB first */
93#define MPSSE_DO_WRITE 0x10 /* Write TDI/DO */
94#define MPSSE_DO_READ 0x20 /* Read TDO/DI */
95#define MPSSE_WRITE_TMS 0x40 /* Write TMS/CS */
96
97/* FTDI MPSSE commands */
98#define SET_BITS_LOW 0x80
99/*BYTE DATA*/
100/*BYTE Direction*/
101#define SET_BITS_HIGH 0x82
102/*BYTE DATA*/
103/*BYTE Direction*/
104#define GET_BITS_LOW 0x81
105#define GET_BITS_HIGH 0x83
106#define LOOPBACK_START 0x84
107#define LOOPBACK_END 0x85
108#define TCK_DIVISOR 0x86
c7e4c09e
UB
109/* H Type specific commands */
110#define DIS_DIV_5 0x8a
111#define EN_DIV_5 0x8b
112#define EN_3_PHASE 0x8c
113#define DIS_3_PHASE 0x8d
114#define CLK_BITS 0x8e
115#define CLK_BYTES 0x8f
116#define CLK_WAIT_HIGH 0x94
117#define CLK_WAIT_LOW 0x95
118#define EN_ADAPTIVE 0x96
119#define DIS_ADAPTIVE 0x97
120#define CLK_BYTES_OR_HIGH 0x9c
2013b000 121#define CLK_BYTES_OR_LOW 0x9d
c7e4c09e
UB
122/*FT232H specific commands */
123#define DRIVE_OPEN_COLLECTOR 0x9e
c4446c36
TJ
124/* Value Low */
125/* Value HIGH */ /*rate is 12000000/((1+value)*2) */
126#define DIV_VALUE(rate) (rate > 6000000)?0:((6000000/rate -1) > 0xffff)? 0xffff: (6000000/rate -1)
127
128/* Commands in MPSSE and Host Emulation Mode */
22d12cda 129#define SEND_IMMEDIATE 0x87
c4446c36
TJ
130#define WAIT_ON_HIGH 0x88
131#define WAIT_ON_LOW 0x89
132
133/* Commands in Host Emulation Mode */
134#define READ_SHORT 0x90
135/* Address_Low */
136#define READ_EXTENDED 0x91
137/* Address High */
138/* Address Low */
139#define WRITE_SHORT 0x92
140/* Address_Low */
141#define WRITE_EXTENDED 0x93
142/* Address High */
143/* Address Low */
53ad271d 144
a01d31e2 145/* Definitions for flow control */
9ecfef2a 146#define SIO_RESET 0 /* Reset the port */
a01d31e2
TJ
147#define SIO_MODEM_CTRL 1 /* Set the modem control register */
148#define SIO_SET_FLOW_CTRL 2 /* Set flow control register */
9ecfef2a
TJ
149#define SIO_SET_BAUD_RATE 3 /* Set baud rate */
150#define SIO_SET_DATA 4 /* Set the data characteristics of the port */
151
579b006f
JZ
152#define FTDI_DEVICE_OUT_REQTYPE (LIBUSB_REQUEST_TYPE_VENDOR | LIBUSB_RECIPIENT_DEVICE | LIBUSB_ENDPOINT_OUT)
153#define FTDI_DEVICE_IN_REQTYPE (LIBUSB_REQUEST_TYPE_VENDOR | LIBUSB_RECIPIENT_DEVICE | LIBUSB_ENDPOINT_IN)
a5e1bd8c
MK
154
155/* Requests */
156#define SIO_RESET_REQUEST SIO_RESET
157#define SIO_SET_BAUDRATE_REQUEST SIO_SET_BAUD_RATE
158#define SIO_SET_DATA_REQUEST SIO_SET_DATA
159#define SIO_SET_FLOW_CTRL_REQUEST SIO_SET_FLOW_CTRL
160#define SIO_SET_MODEM_CTRL_REQUEST SIO_MODEM_CTRL
161#define SIO_POLL_MODEM_STATUS_REQUEST 0x05
162#define SIO_SET_EVENT_CHAR_REQUEST 0x06
163#define SIO_SET_ERROR_CHAR_REQUEST 0x07
164#define SIO_SET_LATENCY_TIMER_REQUEST 0x09
165#define SIO_GET_LATENCY_TIMER_REQUEST 0x0A
166#define SIO_SET_BITMODE_REQUEST 0x0B
167#define SIO_READ_PINS_REQUEST 0x0C
168#define SIO_READ_EEPROM_REQUEST 0x90
169#define SIO_WRITE_EEPROM_REQUEST 0x91
170#define SIO_ERASE_EEPROM_REQUEST 0x92
171
172
9ecfef2a
TJ
173#define SIO_RESET_SIO 0
174#define SIO_RESET_PURGE_RX 1
175#define SIO_RESET_PURGE_TX 2
176
22d12cda 177#define SIO_DISABLE_FLOW_CTRL 0x0
a01d31e2
TJ
178#define SIO_RTS_CTS_HS (0x1 << 8)
179#define SIO_DTR_DSR_HS (0x2 << 8)
180#define SIO_XON_XOFF_HS (0x4 << 8)
181
a01d31e2
TJ
182#define SIO_SET_DTR_MASK 0x1
183#define SIO_SET_DTR_HIGH ( 1 | ( SIO_SET_DTR_MASK << 8))
184#define SIO_SET_DTR_LOW ( 0 | ( SIO_SET_DTR_MASK << 8))
185#define SIO_SET_RTS_MASK 0x2
186#define SIO_SET_RTS_HIGH ( 2 | ( SIO_SET_RTS_MASK << 8 ))
187#define SIO_SET_RTS_LOW ( 0 | ( SIO_SET_RTS_MASK << 8 ))
188
189#define SIO_RTS_CTS_HS (0x1 << 8)
190
7cc9950e
GE
191/* marker for unused usb urb structures
192 (taken from libusb) */
193#define FTDI_URB_USERCONTEXT_COOKIE ((void *)0x1)
194
fd282db3 195#ifdef __GNUC__
74387f27 196#define DEPRECATED(func) func __attribute__ ((deprecated))
fd282db3 197#elif defined(_MSC_VER)
74387f27 198#define DEPRECATED(func) __declspec(deprecated) func
fd282db3 199#else
74387f27
TJ
200#pragma message("WARNING: You need to implement DEPRECATED for this compiler")
201#define DEPRECATED(func) func
fd282db3
GE
202#endif
203
579b006f
JZ
204struct ftdi_transfer_control
205{
206 int completed;
207 unsigned char *buf;
208 int size;
209 int offset;
210 struct ftdi_context *ftdi;
211 struct libusb_transfer *transfer;
212};
fd282db3 213
9bec2387 214/**
b5ec1820 215 \brief Main context structure for all libftdi functions.
a01d31e2 216
9bec2387
TJ
217 Do not access directly if possible.
218*/
22d12cda
TJ
219struct ftdi_context
220{
91c9886b 221 /* USB specific */
02212d8e
UB
222 /** libusb's context */
223 struct libusb_context *usb_ctx;
91c9886b 224 /** libusb's usb_dev_handle */
579b006f 225 struct libusb_device_handle *usb_dev;
91c9886b 226 /** usb read timeout */
545820ce 227 int usb_read_timeout;
91c9886b 228 /** usb write timeout */
545820ce 229 int usb_write_timeout;
a3da1d95 230
91c9886b
MJ
231 /* FTDI specific */
232 /** FTDI chip type */
53ad271d 233 enum ftdi_chip_type type;
91c9886b 234 /** baudrate */
a3da1d95 235 int baudrate;
91c9886b 236 /** bitbang mode state */
a3da1d95 237 unsigned char bitbang_enabled;
91c9886b 238 /** pointer to read buffer for ftdi_read_data */
948f9ada 239 unsigned char *readbuffer;
91c9886b 240 /** read buffer offset */
4ece2c24 241 unsigned int readbuffer_offset;
91c9886b 242 /** number of remaining data in internal read buffer */
4ece2c24 243 unsigned int readbuffer_remaining;
91c9886b 244 /** read buffer chunk size */
948f9ada 245 unsigned int readbuffer_chunksize;
91c9886b 246 /** write buffer chunk size */
948f9ada 247 unsigned int writebuffer_chunksize;
e2f12a4f
TJ
248 /** maximum packet size. Needed for filtering modem status bytes every n packets. */
249 unsigned int max_packet_size;
d9f0cce7 250
91c9886b
MJ
251 /* FTDI FT2232C requirecments */
252 /** FT2232C interface number: 0 or 1 */
253 int interface; /* 0 or 1 */
254 /** FT2232C index number: 1 or 2 */
255 int index; /* 1 or 2 */
256 /* Endpoints */
257 /** FT2232C end points: 1 or 2 */
545820ce 258 int in_ep;
91c9886b 259 int out_ep; /* 1 or 2 */
c3d95b87 260
91c9886b 261 /** Bitbang mode. 1: (default) Normal bitbang mode, 2: FT2232C SPI bitbang mode */
3119537f 262 unsigned char bitbang_mode;
545820ce 263
c0a96aed
UB
264 /** Decoded eeprom structure */
265 struct ftdi_eeprom *eeprom;
c201f80f 266
91c9886b 267 /** String representation of last error */
a3da1d95 268 char *error_str;
a3d86bdb
TJ
269
270 /** Defines behavior in case a kernel module is already attached to the device */
271 enum ftdi_module_detach_mode module_detach_mode;
a3da1d95
GE
272};
273
9bec2387 274/**
44ef02bd
UB
275 List all handled EEPROM values.
276 Append future new values only at the end to provide API/ABI stability*/
277enum ftdi_eeprom_value
278{
279 VENDOR_ID = 0,
280 PRODUCT_ID = 1,
281 SELF_POWERED = 2,
282 REMOTE_WAKEUP = 3,
283 IS_NOT_PNP = 4,
284 SUSPEND_DBUS7 = 5,
285 IN_IS_ISOCHRONOUS = 6,
286 OUT_IS_ISOCHRONOUS = 7,
287 SUSPEND_PULL_DOWNS = 8,
288 USE_SERIAL = 9,
289 USB_VERSION = 10,
290 USE_USB_VERSION = 11,
291 MAX_POWER = 12,
292 CHANNEL_A_TYPE = 13,
293 CHANNEL_B_TYPE = 14,
294 CHANNEL_A_DRIVER = 15,
295 CHANNEL_B_DRIVER = 16,
296 CBUS_FUNCTION_0 = 17,
297 CBUS_FUNCTION_1 = 18,
298 CBUS_FUNCTION_2 = 19,
299 CBUS_FUNCTION_3 = 20,
300 CBUS_FUNCTION_4 = 21,
263d3ba0
UB
301 CBUS_FUNCTION_5 = 22,
302 CBUS_FUNCTION_6 = 23,
303 CBUS_FUNCTION_7 = 24,
304 CBUS_FUNCTION_8 = 25,
305 CBUS_FUNCTION_9 = 26,
306 HIGH_CURRENT = 27,
307 HIGH_CURRENT_A = 28,
308 HIGH_CURRENT_B = 29,
309 INVERT = 30,
310 GROUP0_DRIVE = 31,
311 GROUP0_SCHMITT = 32,
312 GROUP0_SLEW = 33,
313 GROUP1_DRIVE = 34,
314 GROUP1_SCHMITT = 35,
315 GROUP1_SLEW = 36,
316 GROUP2_DRIVE = 37,
317 GROUP2_SCHMITT = 38,
318 GROUP2_SLEW = 39,
319 GROUP3_DRIVE = 40,
320 GROUP3_SCHMITT = 41,
321 GROUP3_SLEW = 42,
322 CHIP_SIZE = 43,
323 CHIP_TYPE = 44,
324 POWER_SAVE = 45,
325 CLOCK_POLARITY = 46,
326 DATA_ORDER = 47,
be4bae37
AL
327 FLOW_CONTROL = 48,
328 CHANNEL_C_DRIVER = 49,
329 CHANNEL_D_DRIVER = 50,
330 CHANNEL_A_RS485 = 51,
331 CHANNEL_B_RS485 = 52,
332 CHANNEL_C_RS485 = 53,
333 CHANNEL_D_RS485 = 54,
68e78641 334 RELEASE_NUMBER = 55,
08518f8e 335 EXTERNAL_OSCILLATOR= 56,
6e962b9a 336 USER_DATA_ADDR = 57,
44ef02bd
UB
337};
338
339/**
b5ec1820 340 \brief list of usb devices created by ftdi_usb_find_all()
9bec2387 341*/
22d12cda
TJ
342struct ftdi_device_list
343{
91c9886b 344 /** pointer to next entry */
edb82cbf 345 struct ftdi_device_list *next;
91c9886b 346 /** pointer to libusb's usb_device */
579b006f 347 struct libusb_device *dev;
edb82cbf 348};
18199b76 349#define FT1284_CLK_IDLE_STATE 0x01
7a172caf 350#define FT1284_DATA_LSB 0x02 /* DS_FT232H 1.3 amd ftd2xx.h 1.0.4 disagree here*/
18199b76 351#define FT1284_FLOW_CONTROL 0x04
837a71d6
UB
352#define POWER_SAVE_DISABLE_H 0x80
353
a02587d5 354#define USE_SERIAL_NUM 0x08
add00ad6 355enum ftdi_cbus_func
74387f27 356{
45619eaa
UB
357 CBUS_TXDEN = 0, CBUS_PWREN = 1, CBUS_RXLED = 2, CBUS_TXLED = 3, CBUS_TXRXLED = 4,
358 CBUS_SLEEP = 5, CBUS_CLK48 = 6, CBUS_CLK24 = 7, CBUS_CLK12 = 8, CBUS_CLK6 = 9,
add00ad6 359 CBUS_IOMODE = 0xa, CBUS_BB_WR = 0xb, CBUS_BB_RD = 0xc
74387f27 360};
f505134f 361
add00ad6 362enum ftdi_cbush_func
74387f27 363{
add00ad6 364 CBUSH_TRISTATE = 0, CBUSH_TXLED = 1, CBUSH_RXLED = 2, CBUSH_TXRXLED = 3, CBUSH_PWREN = 4,
de3da328 365 CBUSH_SLEEP = 5, CBUSH_DRIVE_0 = 6, CBUSH_DRIVE1 = 7, CBUSH_IOMODE = 8, CBUSH_TXDEN = 9,
add00ad6
RH
366 CBUSH_CLK30 = 10, CBUSH_CLK15 = 11, CBUSH_CLK7_5 = 12
367};
368
369enum ftdi_cbusx_func
370{
371 CBUSX_TRISTATE = 0, CBUSX_TXLED = 1, CBUSX_RXLED = 2, CBUSX_TXRXLED = 3, CBUSX_PWREN = 4,
372 CBUSX_SLEEP = 5, CBUSX_DRIVE_0 = 6, CBUSX_DRIVE1 = 7, CBUSX_IOMODE = 8, CBUSX_TXDEN = 9,
373 CBUSX_CLK24 = 10, CBUSX_CLK12 = 11, CBUSX_CLK6 = 12, CBUSX_BAT_DETECT = 13,
374 CBUSX_BAT_DETECT_NEG = 14, CBUSX_I2C_TXE = 15, CBUSX_I2C_RXF = 16, CBUSX_VBUS_SENSE = 17,
375 CBUSX_BB_WR = 18, CBUSX_BB_RD = 19, CBUSX_TIME_STAMP = 20, CBUSX_AWAKE = 21
74387f27 376};
263d3ba0 377
f505134f
HK
378/** Invert TXD# */
379#define INVERT_TXD 0x01
380/** Invert RXD# */
381#define INVERT_RXD 0x02
382/** Invert RTS# */
383#define INVERT_RTS 0x04
384/** Invert CTS# */
385#define INVERT_CTS 0x08
386/** Invert DTR# */
387#define INVERT_DTR 0x10
388/** Invert DSR# */
389#define INVERT_DSR 0x20
390/** Invert DCD# */
391#define INVERT_DCD 0x40
392/** Invert RI# */
393#define INVERT_RI 0x80
394
308f1fa7
UB
395/** Interface Mode. */
396#define CHANNEL_IS_UART 0x0
c8f69686
UB
397#define CHANNEL_IS_FIFO 0x1
398#define CHANNEL_IS_OPTO 0x2
399#define CHANNEL_IS_CPU 0x4
400#define CHANNEL_IS_FT1284 0x8
308f1fa7 401
be4bae37
AL
402#define CHANNEL_IS_RS485 0x10
403
db099ec5
UB
404#define DRIVE_4MA 0
405#define DRIVE_8MA 1
406#define DRIVE_12MA 2
407#define DRIVE_16MA 3
408#define SLOW_SLEW 4
409#define IS_SCHMITT 8
410
308f1fa7 411/** Driver Type. */
2cde7c52 412#define DRIVER_VCP 0x08
ac4a82a5 413#define DRIVER_VCPH 0x10 /* FT232H has moved the VCP bit */
308f1fa7 414
caec1294
UB
415#define USE_USB_VERSION_BIT 0x10
416
ec0dcd3f 417#define SUSPEND_DBUS7_BIT 0x80
02e4a740 418
f505134f 419/** High current drive. */
ca41c8ee 420#define HIGH_CURRENT_DRIVE 0x10
75388926 421#define HIGH_CURRENT_DRIVE_R 0x04
f505134f 422
9bec2387 423/**
40da63b1
UB
424 \brief Progress Info for streaming read
425*/
426struct size_and_time
427{
74387f27
TJ
428 uint64_t totalBytes;
429 struct timeval time;
40da63b1
UB
430};
431
432typedef struct
433{
434 struct size_and_time first;
435 struct size_and_time prev;
436 struct size_and_time current;
437 double totalTime;
438 double totalRate;
439 double currentRate;
440} FTDIProgressInfo;
441
442typedef int (FTDIStreamCallback)(uint8_t *buffer, int length,
443 FTDIProgressInfo *progress, void *userdata);
444
0220adfa
TJ
445/**
446 * Provide libftdi version information
447 * major: Library major version
448 * minor: Library minor version
449 * micro: Currently unused, ight get used for hotfixes.
450 * version_str: Version as (static) string
451 * snapshot_str: Git snapshot version if known. Otherwise "unknown" or empty string.
452*/
453struct ftdi_version_info
454{
455 int major;
456 int minor;
457 int micro;
458 const char *version_str;
459 const char *snapshot_str;
460};
461
40da63b1 462
a3da1d95 463#ifdef __cplusplus
22d12cda
TJ
464extern "C"
465{
a3da1d95
GE
466#endif
467
468 int ftdi_init(struct ftdi_context *ftdi);
672ac008 469 struct ftdi_context *ftdi_new(void);
0ce2f5fa 470 int ftdi_set_interface(struct ftdi_context *ftdi, enum ftdi_interface interface);
c4446c36 471
948f9ada 472 void ftdi_deinit(struct ftdi_context *ftdi);
cef378aa 473 void ftdi_free(struct ftdi_context *ftdi);
579b006f 474 void ftdi_set_usbdev (struct ftdi_context *ftdi, struct libusb_device_handle *usbdev);
a01d31e2 475
bd6941fd 476 struct ftdi_version_info ftdi_get_library_version(void);
0220adfa 477
edb82cbf
TJ
478 int ftdi_usb_find_all(struct ftdi_context *ftdi, struct ftdi_device_list **devlist,
479 int vendor, int product);
480 void ftdi_list_free(struct ftdi_device_list **devlist);
cef378aa 481 void ftdi_list_free2(struct ftdi_device_list *devlist);
579b006f 482 int ftdi_usb_get_strings(struct ftdi_context *ftdi, struct libusb_device *dev,
15079e78
FH
483 char *manufacturer, int mnf_len,
484 char *description, int desc_len,
485 char *serial, int serial_len);
486 int ftdi_usb_get_strings2(struct ftdi_context *ftdi, struct libusb_device *dev,
487 char *manufacturer, int mnf_len,
488 char *description, int desc_len,
489 char *serial, int serial_len);
878f0c6a 490 int ftdi_eeprom_set_strings(struct ftdi_context *ftdi, char * manufacturer,
74387f27 491 char * product, char * serial);
a01d31e2 492
a3da1d95 493 int ftdi_usb_open(struct ftdi_context *ftdi, int vendor, int product);
a8f46ddc
TJ
494 int ftdi_usb_open_desc(struct ftdi_context *ftdi, int vendor, int product,
495 const char* description, const char* serial);
5ebbdab9 496 int ftdi_usb_open_desc_index(struct ftdi_context *ftdi, int vendor, int product,
74387f27 497 const char* description, const char* serial, unsigned int index);
579b006f 498 int ftdi_usb_open_dev(struct ftdi_context *ftdi, struct libusb_device *dev);
5ebbdab9 499 int ftdi_usb_open_string(struct ftdi_context *ftdi, const char* description);
1941414d 500
a3da1d95
GE
501 int ftdi_usb_close(struct ftdi_context *ftdi);
502 int ftdi_usb_reset(struct ftdi_context *ftdi);
1189b11a
TJ
503 int ftdi_usb_purge_rx_buffer(struct ftdi_context *ftdi);
504 int ftdi_usb_purge_tx_buffer(struct ftdi_context *ftdi);
a60be878 505 int ftdi_usb_purge_buffers(struct ftdi_context *ftdi);
a3da1d95
GE
506
507 int ftdi_set_baudrate(struct ftdi_context *ftdi, int baudrate);
2f73e59f
TJ
508 int ftdi_set_line_property(struct ftdi_context *ftdi, enum ftdi_bits_type bits,
509 enum ftdi_stopbits_type sbit, enum ftdi_parity_type parity);
6c32e222 510 int ftdi_set_line_property2(struct ftdi_context *ftdi, enum ftdi_bits_type bits,
22d12cda
TJ
511 enum ftdi_stopbits_type sbit, enum ftdi_parity_type parity,
512 enum ftdi_break_type break_type);
948f9ada 513
be5d7eec 514 int ftdi_read_data(struct ftdi_context *ftdi, unsigned char *buf, int size);
948f9ada
TJ
515 int ftdi_read_data_set_chunksize(struct ftdi_context *ftdi, unsigned int chunksize);
516 int ftdi_read_data_get_chunksize(struct ftdi_context *ftdi, unsigned int *chunksize);
517
276750c1 518 int ftdi_write_data(struct ftdi_context *ftdi, const unsigned char *buf, int size);
948f9ada
TJ
519 int ftdi_write_data_set_chunksize(struct ftdi_context *ftdi, unsigned int chunksize);
520 int ftdi_write_data_get_chunksize(struct ftdi_context *ftdi, unsigned int *chunksize);
a3da1d95 521
1ec530dc 522 int ftdi_readstream(struct ftdi_context *ftdi, FTDIStreamCallback *callback,
50f4957a 523 void *userdata, int packetsPerTransfer, int numTransfers);
1ec530dc 524 struct ftdi_transfer_control *ftdi_write_data_submit(struct ftdi_context *ftdi, unsigned char *buf, int size);
7cc9950e 525
866a6dbb
JZ
526 struct ftdi_transfer_control *ftdi_read_data_submit(struct ftdi_context *ftdi, unsigned char *buf, int size);
527 int ftdi_transfer_data_done(struct ftdi_transfer_control *tc);
1b1bf7e4 528 void ftdi_transfer_data_cancel(struct ftdi_transfer_control *tc, struct timeval * to);
866a6dbb 529
c4446c36 530 int ftdi_set_bitmode(struct ftdi_context *ftdi, unsigned char bitmask, unsigned char mode);
2d790e37 531 int ftdi_disable_bitbang(struct ftdi_context *ftdi);
a3da1d95
GE
532 int ftdi_read_pins(struct ftdi_context *ftdi, unsigned char *pins);
533
534 int ftdi_set_latency_timer(struct ftdi_context *ftdi, unsigned char latency);
535 int ftdi_get_latency_timer(struct ftdi_context *ftdi, unsigned char *latency);
536
1189b11a
TJ
537 int ftdi_poll_modem_status(struct ftdi_context *ftdi, unsigned short *status);
538
91c9886b 539 /* flow control */
a7fb8440 540 int ftdi_setflowctrl(struct ftdi_context *ftdi, int flowctrl);
9ecfef2a 541 int ftdi_setdtr_rts(struct ftdi_context *ftdi, int dtr, int rts);
a7fb8440
TJ
542 int ftdi_setdtr(struct ftdi_context *ftdi, int state);
543 int ftdi_setrts(struct ftdi_context *ftdi, int state);
544
1189b11a
TJ
545 int ftdi_set_event_char(struct ftdi_context *ftdi, unsigned char eventch, unsigned char enable);
546 int ftdi_set_error_char(struct ftdi_context *ftdi, unsigned char errorch, unsigned char enable);
547
f14f84d3 548 /* init eeprom for the given FTDI type */
74387f27
TJ
549 int ftdi_eeprom_initdefaults(struct ftdi_context *ftdi,
550 char * manufacturer, char *product,
551 char * serial);
a35aa9bd
UB
552 int ftdi_eeprom_build(struct ftdi_context *ftdi);
553 int ftdi_eeprom_decode(struct ftdi_context *ftdi, int verbose);
b8aa7b35 554
44ef02bd
UB
555 int ftdi_get_eeprom_value(struct ftdi_context *ftdi, enum ftdi_eeprom_value value_name, int* value);
556 int ftdi_set_eeprom_value(struct ftdi_context *ftdi, enum ftdi_eeprom_value value_name, int value);
557
558 int ftdi_get_eeprom_buf(struct ftdi_context *ftdi, unsigned char * buf, int size);
672fd368 559 int ftdi_set_eeprom_buf(struct ftdi_context *ftdi, const unsigned char * buf, int size);
44ef02bd 560
6e962b9a
SET
561 int ftdi_set_eeprom_user_data(struct ftdi_context *ftdi, const char * buf, int size);
562
a35aa9bd 563 int ftdi_read_eeprom(struct ftdi_context *ftdi);
cb6250fa 564 int ftdi_read_chipid(struct ftdi_context *ftdi, unsigned int *chipid);
a35aa9bd 565 int ftdi_write_eeprom(struct ftdi_context *ftdi);
b8aa7b35 566 int ftdi_erase_eeprom(struct ftdi_context *ftdi);
a3da1d95 567
c1c70e13
OS
568 int ftdi_read_eeprom_location (struct ftdi_context *ftdi, int eeprom_addr, unsigned short *eeprom_val);
569 int ftdi_write_eeprom_location(struct ftdi_context *ftdi, int eeprom_addr, unsigned short eeprom_val);
570
c3d95b87 571 char *ftdi_get_error_string(struct ftdi_context *ftdi);
c1c70e13 572
a3da1d95
GE
573#ifdef __cplusplus
574}
575#endif
576
577#endif /* __libftdi_h__ */